NeoPhotonics sells its low speed transceiver products to China based APAT
ARM acquires HPC/supercomputer software specialist Allinea
Airpods are new market enabler for digital audio UI
A big non-semiconductor consolidation: TDK to acquire InvenSense for1.3B
The robot market prediction by IDC for 2017 and further
Flexible PCB growth outpacing rigid PCB growth
MPS files a lawsuit against Intersil
Arrow to sell Vodafone IoT services
Diodes revises revenue outlook after the fire incident at one of its fab
Micron completes acquisition of Inotera Memories
Panasonic to increase local manufacturing and research in India
virtual spending to exceed phsical networks by 2019, says ABI
Chinese companies start a lab for smart home security
ON Semi chairman J.Daniel McCranie to retire
Webinar on Victory Process 2D, a semiconductor chip process simulator
RF and opto expert MACOM gets into digital by acquiring Applied Micro
Can the TFT display turn organic?
Supercomputer with performance density of 1.5 PetaFLOPS/m3
Siemens to acquire Mentor Graphics and Samsung to acquire Harman
Telit's IoT event on 16th and 18th in Chennai and Bengaluru
More than 1B WiGig chipsets to ship to the smartphone market, predicts ABI
Excelpoint to distribute Xilinx' products in India
Antonio J. Viana joins Arteris board of directors
IPC launches an online course to learn its standards
Cross platform connections help grow India IoT biz
GaN VCSELs designed with higher conductivity
Japanese researchers work towards making Ge ICs more realistic
Voice control is increasingly used in smart home electronics
FLIR acquires machine vision camera vendor Point Grey Research
QuickLogic is the latest semiconductor partner of CyWeeMotion
Philips and Masimo jointly market and sell each others products
A new market fast emerges for solar microinverters in the form of HVAC
A 100x more effecient oxygen production in artificial photosynthesis
High speed merging: Inphi to acquire ClariPhy
ST to contribute to HDBaseT Automotive, a single cable for in-car wiring
XMOS Improving voice control on embedded systems through partnership
Broadcom enters FC SAN product market by acquiring Brocade
T&VS and Imperas partner in offering virtual software platform for testing
StarChip and Cortus to provide secure solutions for IOT
Advantech and ARM collaborate on IoT platform
Cognitive/AI sys to grow 55.1% CAGR from $8B in 2016 to $47B in 2020, IDC
Espressif uses CEVA’s RivieraWaves in ita ESP32 wireless chip
MStar SoC MSC318 paired with OmniVision IR sensitive image sensors
HP offering Intel powered HPC platform in India for researchers to test software
Decawave shipping UWB ICs for less than $15 at scale
Free solar installation training for the 10th pass by NISE and TUV
Nokia is top ranked in small cells competitive assessment
Purdue seeks to raise $40M by 2019 through contributions
FPGA accelerated computing for stock trading financial application
OpenStack gets strong backing from Cavium
Molex buys Phillips-Medisize
Honeywell collaborates with flowserve in providing IIoT solutions
Echelon’ processors chosen by ABBA Logic for its access control products
Silicon and software displayed and talked at ARM TechCon 2016
IDC finds sharp decline in Smart watch shipment volumes
Aquantia and GlobalFoundries collaborate to deliver all copper 100Gbit/s networking
RapidIO interoperable with Xilinx UltraScale FPGAs
Cyient names Suman Narayan as senior VP and semiconductor biz head
40nm Resistive RAM from 4DS on par with 3D Flash memory
Qualcomm and NXP deal, a single move aiming multiple targets
HMicro' WiPoint powered by CEVA's RivieraWaves Wi-Fi IP
Camera based driver monitoring system strengthens autonomous driving reliability
Panasonic team up with Tesla in solar PV cell and module production
IoT and automotive are future big market drivers for sensors
Toy testing & certification by TUV Rheinland in its India labs
HomePlug specs made public after seeing 220M devices deployed
Baidu employs Xilinx's FPGAs to accelerate machine learning
Samsung is preparing to recover from any revenue slip from battery incident
40% up in shipment of wireless charging enabled devices in 2016, estimates IHS
Japanese passive component maker Murata acquires IPDiA
Mentor Graphics acquires chip-test tool expert Galaxy Semiconductor
Infineon gains lidar expertise by acquiring Innoluce BV
Gartner forecasts decline in PC shipments in 2016
AI algorithm automates deep-learning
14 nm chip and 3D system in package, a technology made for wearables
Merger of Lam Research and KLA-Tencor cancelled
Semtech and Comcast to deploy trial LoRaWAN network in US
The overall mobility industry to add US$40 billion revenue every year
Leti joins Stanford's SystemX Alliance
Berg estimates a 71 million self driving cars by 2030
Panasonic develops flexible batteries for wearables and smart cards
Smart phone app to diagnose Anemia non-invasively
B2B market for wearables is gaining significance
Surveillance, biometrics and VR to drive IR-LED market
China emerge as the largest market for electric vehicles
Cellular M2M terminals shipment to reach 5.7 million in 2020
The technology of smart lighting detecting human occupancy
National Conference on E- Governance: Deliveries on Transparency, Compliance and Good Governance
Enhancing energy efficiency by ultra-low standby power consumption in consumer electronics appliances
ABI predicts centimeter-level accurate GNSS in Automotive by 2021
Smart parking app tells nearest parking in real-time
Curing, sterilization and purification to drive UV LED market
10th Annual Linley Processor Conf to highlight processor market dynamics
SES-imagotag to acquire Pdi
State-of-art VLSI verification discussed at DV-CON India 2016
Rohm Electronics targets Indian ceiling fan market
Renesas acquiring intersil; a smart move
Manufacturers count upon big data analytics to pilot smart production
Chinese wearable devices feature rich-functions made for local needs
ABI: Wearable scanner shipments to reach 22 Million by 2021 from 7M in 2016
BrightVolt to utilize Series B funds to build on its patented battery tech
Mindtree partners with Conversable to transform customer conversations
A consumer electronics tech show IGE 2016 in Bangalore on 5-7th Oct 2016
Tata Elxsi collaborates with Avaya to develop IOT based on SDN architecture
DRAM contract prices forecasted to see another q-o-q 10% growth
HDMI unveils USB Type – C connector as an alternative mode
UMC collaborates with APM to strengthen its MEMS service offer
ABI ranks Bosch, Harman, and Continental as top3 for connected car tech
Videocon d2h tie up with CSC eGovernance Services
Registration opens for "India Innovation Challenge" 2016 on mygov.in
ESDM trade fair: Electronica and Productronica India 2016 on Sept 21-23 in Bengaluru
DRAMeXchange: SSD adoption in notebooks to reach 50% in 2018
Detachables to enable the growth of tablet market in 2018
Quantum computing by using perovskite discovered by NREL researchers
THP7212 is adopted to German automaker’s drive recorders as dealer options
Littelfuse acquires TVS diodes and other selected products from On Semiconductor
Heliatek completes second phase of organic Solar PV project in Singapore
Mouser scales up its operations in Bangalore, India
JEDEC approves 2016 SPD enhancement for DDR4/DDR4E and LPDDR3/LPDDR4
Samsung R&D Institute hosts inauguration of Khronos Bangalore Chapter
Jaswinder Ahuja of Cadence bats for Indian electronics product design startups
Panasonic acquires automotive embedded software co OpenSynergy
Cypress Semiconductor appoints Hassane El-Khoury as CEO
Semtech completes divestiture of its Snowbush IP business
Sony to transfer battery business to Murata
Can DEFTRONICS 2016 lead to deftreneur park?
ST grows its capability in secure microcontroller market
Analog to acquire Linear, and the Softbank to acquire ARM
MStar’s Hybrid STB chip-set integrated with the CubiTV Hybrid Middleware
Infineon to acquire Cree's Wolfspeed for 850 Million
Visteon completes acquisition of India based AllGo Embedded Systems
India gets in to the IOT bus
Day 2: 7th Strategic Electronics Summit 2016: Government bodies, Defence and Academia come together to discuss the way forward
7th Strategic Electronics Summit 2016 inaugurated at BIEC, Bengaluru
FLIR Systems Acquires Armasight, Inc. for $41 Million
Averna acquires US based Nexjen Systems
7th Strategic Electronics Summit, Bangalore announced
MD-SIG launches LEDset specs for interoperable LED drivers and modules
SMIC gains automotive IC manufacturing capability
Brian Faith to replace retiring Andy Pease as QuickLogic' President and CEO
EUV set for volume semiconductor chip production in 2018
Marvell appoints Matthew J. Murphy as President and CEO
Apple HomeKit camera and doorbell supported by Ambarella's SoC
Logic and memory in single cell enables Toshiba to reduce FPGA die size by half
Yelahanka based Centum Electronics acquires French embedded developer
Large software developer meet by IBM on Jun 17 in Bangalore
Cavium agree to buy Qlogic
NXP sells its discrete logic and power semiconductor biz to Chinese investors
IOT VLSI IC design: Access to ARM Cortex-M0 processor and EDA tools on cloud
IHS: AI sys in infotainment and ADAS to rise from 7M in 2015 to 122Mn by 2025
IOT help in maintenance and service support of machines
Artificial intelligence is not exactly the old wine in new bottle
What is this precision agriculture and how electronics technology is applied
Intersil appoints Sunny Gupta as Senior VP
Silvaco agreeing to acquire Ipextreme
Semiconductor revenue update this week: Ambarella and Sigma Designs
Cadence and Synopsys supports SMIC' 28 nm low-power process
VLSI chip design IP core news this week
Marvell appoints Andy Micallef as COO
Over the counter hearing devices for people with moderate hearing loss suggested
Use of Microcontroller chips in embedded computer market to grow faster
Advanced semiconductor package litho growing 18.6%, 4x faster than IC lithography
Micrium' µC/OS-III RTOS ported into EnSilica' eSi-RISC processor cores
Sheet like insulation layer for semiconductor package substrates
CEVA-XM4 vector vision processor IP powers vision SoC NU4000
Full HD over USB: Demo by DisplayLink connecting four 4K HD monitors
Environment friendly electronic solutions for rat menace
When every needy-one has smartphone,PC, and HDTV; VR gets in with spiky growth
ISRO and Bangalore based Navika gets India GPS freedom
Global positioning data is vital for autonomous vehicles
RF CMOS transceiver sensor drives self driving technology
Moore's law is near obsolete
The safety and security in IOT is as important as life sciences research
DSP Group appoints Daniel Amir to Corporate VP, Biz Dev, Strat, and IR
Node race: ARM, TSMC together speeding towards 7 nm, Samsung is ahead
Avnet India launches product testing service for electronics product design in India
IOT powered smart home can save 10% electric power consumption
VLSI design companies press the throttle lever of cache coherent interconnect tech
ARM acquires image processing technology expert Apical
New handbook by IPC for troubleshooting PCB fabrication processes
iPDKs for Lfoundry's 150 nm Analog and RF CMOS Process for Keysight Eesof
CEA Collaborates with Intel to research on key Digital technologies
Lenovo to make enterprise products for its Europe customers in Hungary
You can buy electronic components in India from Mouser by paying in INR
Chip makers to spend less on capital equipment in 2016, according to Gartner
A nano sized machine which can enter living cell
Hua Hong Semiconductor shipped more than half a billion LED driver chips in 2015
Hotspot 2.0, 802.11ac and NFV driving carrier WiFi equipment market
Freescale+NXP and the variable
IESA elects executive council for 2016-17
CEVA-XC DSP power Autotalks' V2X processor
MACOM files suit against Infineon over GaN patents
Cypress gains wireless product strength in the IOT market
Hero Electronix, acquires stake in Tessolve Semiconductor
A Smart city, semiconductor industry's mega-opportunity
FOGALE NANOTECH acquired ALTATECH
Qorvo to acquire GreenPeak Technologies
Taiwan holds on its top position in semiconductor foundry business
TE completes acquisition of minimally invasive medical device expert Creganna
Factors enabling smart living
Global semiconductor revenue fell by around 2% in 2015
Personal computer still remains a top computing platform
JEDEC publishes JESD220-2 UFS Card Extension Standard
Midea acquire 80.1% stake in Toshiba home appliances business
IOT design competition 2016 announced by Analog Devices India
Hua Hong shipped more than 720 million java smartcard chips in 2015
Multiple NDEF Records in a single STM’s NFC/RFID Tag
Hitachi and Redlen to develop PCCT semiconductor detector module
Arctic Sand receives $19M series B funding
ThreadX RToS exceed 5.4 Billion deployments
Ramco open MRO lab in Singapore
IESA VS 2016: Focus is on electronics manufacturing and funding
VLSI Design: Webinar on creating ASICs to enable IoT & M2M on 16th February 2016
SDN market: Virtualization/control layer and SDN applications segment to grow faster
Sony to acquire Altair Semiconductor targeting IoT market
India Video Surveillance market is expected to reach $952.94 million by 2016
ST chips power Make in India STB from MyBox
Sensor hub chip, a trending component in latest smart phone design
Semtech and STMicroelectronics Collaborate to Scale LoRa Technology
The GaAs IC Market Growing 25% in 2015, as per a market study
FLIR acquires DVTEL for $92 Million
Rockwell Collins India opens new facility in Bangalore
Ł1.2 million secured by Dukosi to accelerate smart battery technology
Light instead of electricity to measure temperature
Arun Kakatkar given additional role of MD of TE Connectivity India
Artificial material creates energy using photosynthesis like process
Embedded-FPGA-tech expert Flex Logix gets $7.4M VC funding
Applied Materials and A*STAR to start a new lab in Singapore
Applied Materials Joins IITB Research Park as Anchor Client
Cobham says its commercial Mixed-Signal ASIC growth is strong for 2015
Sony announces plan to spin out semiconductor group into new business
VLSI design: NEBULA, iJTAGServer and Cadence Incisive Enterprise Simulator interoperate
DC-DC handbook provides details on use of high performance GaN
Telit IoT Platform powers CelloTrack Nano System
STMicro joins Entrust Datacard to participate in its smart card CVP
Rapidly growing Automotive Electronics market in India
Mouser stocking TE's Micro SFP+ connector and cable assemblies
Dialog Semiconductor to acquire Atmel for $4.6 Billion
Mentor Graphics acquires Calypto Design Systems
ZOLL acquires Kyma Medical Technologies
Mouser stocks Littelfuse circuit protection for IoT Wearables
C2000 Delfino MCU LaunchPad kit stocked by Mouser
DVCon India 2015 grows bigger in its second year
Intersil acquires Great Wall Semiconductor
Cadence, Mentor and Breker working together in defining SOC verification std
Video explains surround view creation in automotive systems
More than 1 Million MACOM GaN on Si devices in the field
OnePlus 2 phone takes better images due to gyro and proximity sensors
Sigma ramp up the production of its STV7701UHD TV IC
MediaTek to acquire Richtek
Semiconductor chip sales down in July 2015
Diodes to acquire Pericom Semiconductor
Flexible electronics: Flexible OLED panels moving closer to commercial feasibility
IBM cloud and ARM's chips connected to form a unified system of systems
MightyWorks audio tech ported into Cadence Tensilica HiFi DSPs
Wolfspeed is the new spin-off company of Cree' SiC/GaN semiconductor biz
Bengaluru electronics start-up launches most advanced wireless charging pad
electronica India 2015 and productronica India 2015 in Delhi on Sept 9-11
ABI: Bluvision is the leading BLE Beacon vendor in 2014
ESDM Ecosystem: Think locally, win globally
CoE for VLSI and embedded system design at BMSCE Bangalore
Intel India opens Maker Lab in its Bengaluru campus
Avago's revenues grow faster than the semiconductor industry average
Wearable shipment growth: From 5.6M to 18.1M shipments in 2nd Q 2015
Tesla deal makes Panasonic a big leader in EV batteries with 39% share
Top three vendors of tablet computers in India: iBall, Samsung and Datawind
Gartner: Worldwide server shipment grew 8% and revenue by 7.2% in 2Q 2015
40Gb Ethernet revenue grew 59.7% in 2Q2015, finds IDC
CommScope buys TE Connectivity's broadband network solutions business
Qorvo to double GaN device capacity
Why did the Rambus decide to sell its own IC chips?
IC chip design trends discussed at CDNLive India 2015
Smartphone market is no more hotcake-like
IOT, smart home and automotive, a safer zone for semiconductor industry
New applications expands growth for image sensor market in 2015
Its high risk for semiconductor vendors to depend only on few big customers
Intel ups software and design support to its Curie module at IDF 2015
Lenovo to make smartphones in Chennai, India
Brooks Automation acquires semiconductor cleaner solution company
Three U.S. Patents related to memory storage granted to StorTrends
The best robotics competition in India open for registration
USB Type-C device makers can now get their products SlimPort certified
Foxconn, a big entry in India-electronics manufacturing by Taiwan industry
ams AG announce enhancements on its advanced 0.35µm CMOS optoelectronics
Imec advancing in GaN technology
Amino acquires Entone
Samsung begins volume production before Toshiba sampling 256Gb 3-D NAND
Aricent acquires India based VLSI design services company SmartPlay
Semiconductor fab race: Intel, TSMC, Glofo, Samsung neck to neck, SMIC fast catching
FPGA ingredient: Must in 4G/5G wireless, cloud and data centers
Mid-year semiconductor market forecast for 2015: near flat to small growth
Gartner forecasts 500-700 million smarthomes worldwide by 2020
Fast battery charging and wireless charging; two hot trends in mobile and EV
In the slowing PC market, Apple loses to Lenovo
IT Asia 2015 in Hyderabad on 25th -27th Sept by MAIT and Telangana Government
New safety-docs for STM8A automotive MCUs for ISO 26262 Certification
Marvell's co-founder Ms. Weili Dai appointed to GSA Board
Qualcomm acquires broadband networking chipmaker Ikanos to target smarthome
Hitachi High-Tech completes transfer of Photomask data verification biz to Synopsys
Montage licenses Arteris FlexNoC Interconnect IP for STB SoCs
SanDisk and SK Hynix settle law-suit and expand business deals
Intel to set up electronics hobbyists/maker lab in Bangalore
16M image sensors from Toshiba and Samsung compete for thin smart phones
Altera to use ZMD's MMDC to cut power consumption in its FPGAs and SoCs
Intel's Digital India design challenge identifies 20 contestants
Non-volatile memory tech breakthrough by Micron and Intel
AMS acquires CMOS sensor business of NXP
ARM buys security VLSI IP expert Sansa Security
Tizen Developer Summit held first time in Bengaluru
MIT researchers fabricate reliable GaN power transistors at low cost
Strategic Electronics Summit at BIEC, Bengaluru on 30-31, July 2015
The innovation igniter left us
Altran acquires India based VLSI design company SiConTech
3.4 billion ARM-based chips shipped in 2Q 2015, up 26% year-on-year
UMC starts volume production of AMD GPUs using TSV process
ROHM Semiconductor acquires Powervation
Electronica India, Productronica India in Delhi on Sep 9-11
Consistent growth for TI from Analog ICs
Kilopass appoints SOC expert Jen-Tai Hsu as VP of engineering
STM32 ARM Cortex M microcontroller' market expands for ST
MAIT appoints Ms. Debjani Ghosh as new President
Synopsys acquires Seeker from Quotium
FDSOI interest jump-up with 22nm FDSOI fab from Globalfoundries
Monolithic 3-D IC fabrication, a vertically integrated CMOS transistors
India IT hardware market 2014-15: Smartphone, phablet and server grew up, PC down
Semicon West: Forget microelectronics it’s not even nano let’s call it atomtronics
ClassOne Technology won “Best of West” Award for its Solstice S4
Do you have a wearable design idea for a social cause?
China goes for big in semiconductor
Bluetooth powered beacons: Get ready for new range of apps
How 64-bit ARM Processor servers trending?
Semtech cuts its staff-size by 8%
WATCH by Rice researchers smartly uses TV UHF band to offer super Wi-Fi
Medtronic to acquire RF Surgical Systems
Cutting Neon gas consumption in ArF and KrF light sources used in chip manufacturing
TrendForce: Monitor shipment to decline by 4.8% and notebooks by 6.4% in 2015
GainSpan appoints Robert D. Twomey as Senior VP of worldwide sales
Ikegami uses Semtech’s HD-VLC for HD video transmission inside trains
Gartner's global semiconductor forecast for 2015: a 2.2% growth to reach $348B
Radiation effects and electrical reliability lab for FDSOI chips
Astra Microwave uses NI AWR to design its GaAs MMIC
3-D semiconductor innovation by IRT Nanoelec, CEA-Leti, STMicro and Mentor
Sequans licenses Arteris FlexNoC IP for use in IoT chipsets
NAND Flash life enhanced using FPGA
Cree acquires APEI
ST to showcase IOT and mobile products at MWC Shanghai
MCCI’s TrueTask USB Host used in Windows 10 IoT Core on Raspberry Pi 2
7nm test chip taped out by IBM, Globalfoundries and Samsung alliance
Rambus and Renesas renew license agreement
Semiconductor market no more cyclical, a marginal 2-3% growth in 2015
VOC-tech allows using single co-axial cable to connect four IP cameras
SOI fab news: 130nm 300mm RFSOI and 180nm SOI foundry capacity available
Alliances for interoperability and network connectivity in IOT
Mega LCD display panel manufacturing plant in India by Sterlite
3-D printing of resistor, inductor and capacitor possible using thermoplastic conductive material
Globalfoundries completes acquisition of IBM semiconductor business
Renesas appoints Hideto Hidaka for the newly created CTO post
DDC acquires Emrise' XCEL Power Systems and Pascall Electronics
Ambarella acquires automotive vision-systems expert VisLab
New building automation standards supports IOT powered smart home
8 percent q-o-q fall in Micron's fiscal 3Q 2015 revenue
Hans Chuang appointed as VP of Altera Asia Pacific
Texas Instruments conducts the TI MCU design contest
Wearable and IoT demand 16nm/14nm process
Course material for learning mobile graphics to design mobile gaming apps
Safety and security of software in IoT embedded systems: key points
VLSI design: Fab your semiconductor chip virtually
SMIC, TSMC and UMC race gets closer to address Asian semiconductor market
Must learn prog. languages for electronics/embedded/VLSI career: C/C++, VHDL, Verilog, and Java
FDD/TDD carrier aggregation helps LTE data speed and coverage improvement
Ericsson has maximum of its employees in India
EDA company Synopsys listed as 5th biggest software vendor in India
SunEdison completes the 2nd over-the-canal solar PV installation in India
Valleytronics to make spintronics easier
Skyworks to open a design centre in San Diego to research on 4G/5G and IOT
Bosch selects Rudolph' F30 for dynamic semiconductor inspection
RS stocking and selling Silicon Labs' 8051 low power 8bit EFM8 MCUs
Tablet/notebook hybrid devices heading for a high growth of 70% in 2015
Sharp starts volume production of in-cell type touch displays for smart phones
JEDEC to update solid state drive standard subcommittee
Financial and IP capital shields big semiconductor memory manufacturers
SunEdison acquires Continuum Wind Energy India and GME
An embedded software developer app store for VxWorks RTOS
HARMAN certified with automotive SPICE level 3 certification
Fujitsu demos ONOS Interoperability
M.Tech in semiconductor engineering jointly by KLU and TESSOLVE
Laser LEDs helping pico projectors to enter mainstream
Winners of TI Innovation challenge and ADI's Anveshana in India
One-stop shop IP offerings, key business trend in silicon IP market
OLED arrays made using traditional lithography equipment
Solar PV cell efficiency research focus on material and structure innovation
Electropreneur Park invites ESDM startups for an incubation program
ISSI and Cypress Semiconductor finally agree for the acquisition bid
Terahertz wireless link matching optical speed tested by IEMN
FPGA in data center: Presentation at OpenPOWER Foundation China Summit
Coventor appoints Dinesh Bettadapur as VP of business development
ST' Alessandro Cremonesi on IoT, SoC, safety and security trends in semiconductors
Three semiconductor companies collaborate targeting China IOT market
Micro LED arrays fabricated using IC process for head-up displays
200% increase in wearables shipments in 1Q15 compared to 1Q14
Semiconductor market, both devices and fab-equipment is no more cyclical
The road to self driving cars drives ADAS market for a robust growth
Khronos Group ratified and publicly released OpenVX 1.0.1 spec
eSilicon adds SMIC in its GDSII Explorer
Easy to learn text book on GaN semiconductor devices
Samsung expands licensing of Mali GPUs from ARM
5X faster synthesis of PowerVR GPU by using cadence' Genus
AMD inches ahead of Intel in notebook processor performance by launching Carrizo
Google's Android Auto and Apple's CarPlay to compete on automotive turf
SoC Solutions Joins IPextreme’s VLSI IP vendors constellations
Apple watch leads the wearble growth path
ST's STiH237 powering new Skyworth Digital HD set-top box.
RS Components shipping FTDI’s latest USB3.0-to-FIFO
Ambarella reports revenue of US$ 71million for its first quarter this year
Intel did not let Altera go; the deal is done
ASE displaying SIP applications at Computex 2015
UEFI BIOS & memory failure detection for Intel client and server platforms
Higher surface area carbon for supercaps and batteries created by Stanford scientists
Survey finds 3/4th of Americans planning to buy wearable in next 6-12 months
Avago to acquire Broadcom: really a wide and broad comm semiconductor co.
Synopsys to acquire Quotium's IAST Product, Seeker
NXP Semiconductors to sell RF power biz to China based Investor
7nm FPGAs not far away
RFID NFC Smart package protects brand and prevents tampering
ST's home-networking solution gets MoCA 2.0 certified
Behaviour of carbon nano tube when gas atoms stick to the surface
ST demonstrating its STB SOC based products at CommunicAsia 2015
Baikal's communications SoC powered by MIPS Warrior P-class CPU
ST's executives to speak at COMPUTEX on IoT, wearable and smart cities
7.3 million chrome OS notebooks sales forecasted for 2015, a growth of 27%
$4 billion India's software product market is still small but is growing
WIT’s RCS and WebRTC server platforms with Radisys’ MediaEngine
Amino Strengthens its TV-everywhere service offer by acquiring Booxmedia
Wearable band market grew over 150% first quarter of 2015, finds Canalys
Ramon Chips licenses CEVA-X DSP
1q2015 silicon wafer shipment grew 3.4% sequentially, as per SEMI
China based Letv's flagship smartphone design uses SiBEAM 60GHz Tx
Panasonic expanding domestic solar PV production capacity
Java SE 8 supports MIPS processor core
OmniVision and Silicon Line collaborating for an optical cable initerfaced camera
New company is formed to make photoresists for EUV lithography
Texas Instruments Names Ravi Kyran as VP of HR
Semiconductor cos in India and China develop world-class SOC chips, but there is a diff
Xilinx generates $1 billion revenue from 28 nm FPGA chips
AAC Tech acquires RF MEMS device maker WiSpry
Cypress offers higher bid to acquire ISSI
MAIT and CHiPS Signs MoU to grow electronics/IT industry in Chhattisgarh
IoT platform revenues will grow to € 2.4 billion worldwide in 2020
RS Components to sell HellermannTyton' cabling parts in Asia-Pacific
ASE and TDK to form a company to produce semiconductor substrates
Your electronics at home is power efficient than before
PC market continue to slide in the first quarter of 2015
Dialog and ShunSin acquire stake in Dyna Image of Taiwan
Atmel collaborates with China-based IoT OS start-up
Top smartphone app processors in 2014: Qualcomm Snapdragon 801 and 805
A mega-merger in the semiconductor equipment market failed
Deep dive into the MIPS RISC processor arch: un-obfuscated RTL and more
Silicon Motion to acquire Shannon Systems
Open-Silicon ships 100 Millionth ASIC attributing the success to its biz model
Zuken opens subsidiary in Bengaluru, India
Intel’s tablet AP grows 300% y-o-y in shipment in 2014
LTE baseband processors' sales overtook 3G baseband in 2014
Exponential demand of CPU performance forecasted in automotives
Joint standards for device ESD sensitivity testing by JEDEC and ESDA
EtherCAT and OPC defining common interfaces for Industrie 4.0 and IoT
API Technologies to acquire Inmet and Weinschel
A speech to text powered software for hearing impaired
UVM 1.2 progressing to get IEEE Standards stamp
ARM gains low power Bluetooth expertise through two acquisitions
Motor selection for your EV car/drone design, material economics matters
Semtech divests its defense and microwave biz
TSMC continue to hold more than 50% share of the top-10 semiconductor foundries in 2014
eInfochips receives AS9100 aerospace quality certification
FPGA for IoT, Altera joins IIC
Make in India seminars at Hannover Messe 2015
Printed electronics: Liquid crystal molecule that produces high-performance organic FETs
EV trend in Asia: Electric three wheelers finding more users than electric cars
Aluminum battery tech safer, last longer and charges faster than Li-Ion
$44.3 Billion semiconductor materials market in 2014 and is growing
High speed 5G research: 10 Gbps speeds in a 5G test demo by Nokia
WMBus protocol over LoRa for smart meter remote reading
Larger doping molecule enhance performance of organic semiconductor
In the low-power microcontroller, Atmel's MCU gets highest score
Jim Hogan joins Belgaum based VLSI design company Vayavya
Cloud rain and plant; Cloud data and IoT
Philips sells its 80% stake in Lumileds
Corning acquires iBwave
Smart bulbs and mobile app, grows as key ingredients for smart home lighting
Imec and sureCore collaborate on SRAM Design IP
ZigBee Alliance and Thread collaborate on connected home products
A toy car race in Bengaluru based on self driving concept
Union government to invest US$10 billion in India semiconductor fabs
What Intel will do with Altera, a programmable-silicon IP gold mine
China, mobile, IoT and automotive to shuffle semiconductor vendor ranking in 2014-15
Embedded Systems Course by IETE Bengaluru
Vanadium batteries based energy storage by US company for rural India
40nm eNVM low-power process tech jointly by GLOBALFOUNDRIES and NXP
IESA signs MoU with TEEMA
Supercomputers in materials science: First-principles simulation of large molecules
Microsemi to acquire Vitesse Semiconductor for US$389 million
Solar PV capacity growing massively allover the world
An autonomous self driving EV car is no more a dream, now you can own it
Gartner: 2.8% growth in PC, mobile and tablet shipment in 2015
ARM and Cadence to support each other's Ips
Open source game engine Cocos2d-x optimised for MIPS CPU and PowerVR GPU
OmniVision's OV788 video processor is used in Acer's BYOC
Semiconductor equipment sales in 2014 grew by 18%
Z-Wave's new IoT design competition for start-up companies
Rockchip licenses Arteris FlexNoC IP for its RK series app processors
Asahi Kasei and FDK to dissolve the joint lithium ion capacitor business
Applied Ventures to invest in UV LED maker RayVio
Radiocrafts wins two smart meter contracts in India
Polymer conductors researched to replace metals in electronics
Semiconductor lithography: EUV, NIL, DSA are hot areas in 2015
Cylindrical batteries in transportation applications to grow by 30% in 2015
Pune to get EMC and incubation center
NXP acquires Athena SCS
Texas Instruments names Mark Gary as vice president
Rudolph gets third customer for its JetStep
Design, IP, emulator, test services and MPW shuttle platform by CEA-Leti
Budget FY 2015-16 points for the electronics and semiconductor industry in India
Mentor Graphics acquires 3rd EDA company within 12 months
David L. Dutton is appointed as CEO of Silvaco
eASIC records shipment of 20 million custom Ics
Competition heats up in 4G LTE processor and modem chip set market
Semtech acquires Triune Systems
TÜV Bangalore supports RF testing for ETSI EN 300328v1. 8.1 compliance
Freescale and NXP merge to form 9th biggest semiconductor company in the world
Socionext is the combined semiconductor biz of Fujitsu and Panasonic
eSilicon promotes Ajay Lalwani to VP, global manufacturing operations
Visualizing superconductive coupling over atomic steps
Browsing and social media are top activites in tablets and smartphones
JEDEC published e.MMC standard update v5.1
Microchip Licenses EtherCAT
LFoundry announces new VP of R&D
IoT is advantageous for India and ST
Vivek Sharma of ST Micro on the broad-mix power and IoT opportunity
India's first analog semiconductor fab in Madhya Pradesh
ViXS CEO Sally Daub resigned
Nano imprint lithography to make memory and flash chips at deeper nodes
W3C web standards for transfer of data in automotives
220 SUNY Polytechnic' semiconductor engineers are to be part of IBM research
MaxLinear to acquire MoCA specialist Entropic
How Micromax overtaken Samsung in India smart phone market
Contactless payment and US chip-and-PIN adoption drives smart card growth in 2014
Padmanabha Gowda to head Fairchild Semiconductor India
Spansion and XMC joins hands on 3D NAND flash
IESA Vision summit 2015: Time to measure output in electronics manufacturing
India based memory semiconductor fab is more essential
ASSP to marry FPGA
Akrion intensifies its focus on 3D TSV packaging
ST wins performance award from Vodafone for supplying SIM modules
Intersil appoints two senior executives
TE Connectivity to sell its broadband n/w biz to CommScope
NXP semiconductors' Ashok Chandak on security, smart and IoT
India semiconductor market grew by 8 to 10% in 2014
Smart phone market 2014: Apple, Chinese vendors share up but Samsung down
HARMAN to acquire embedded software co.s Symphony Teleca and Red Bend
Jaswinder Ahuja: IoT and its consequences of the semiconductor chip design
eInfochips and Toshiba unveil dev kits for Google Project Ara
Solar PV semiconductor fab in Gujarat, India by SunEdison and Adani
Freescale India's Satinder Sohi's 2015 predictions for electronics and semicon
V2V tested between two Audi cars with relative speed of 500 km/h
Is 2015 a big year for global Solar PV; Analysis by IHS on Chinese suppliers
ADI donates US$50K for promoting STEM in US colleges
Judgement in favour of Mentor in a patent litigation case with Synopsys
Corning acquires Assets of NovaSol
Apple, Samsung and smart phones consume max of semiconductor output
Toshiba to pool its resources to form new IoT unit
ST’s smart power IC chip fab can be used by universities and research labs
ST's Francois Guibert's take on 2015 semiconductor market: mobile and IoT
Semtech in m2m communication: Partners with Wi-SUN and Link Lab, acquires EnVerv
Automotive safety and security program from Renesas eases ISO26262 compliance
Advanced image analysis by Philips and Indica Labs for cancer research
Mentor Graphics acquires FPGA prototyping company Flexras Technologies
Latest EDA update from Cadence: 3D audio DSP, memory, interface IP and AMS tool
Ericsson files IP complaint against Apple for not renewing license
10X boost in VLSI design throughput using latest place and route from Synopsys
Monthly new tech capsule: organic semiconductor material "Pentacene"
ISRO x-Chairman Dr. Radhakrishnan to speak at IESA Vision Summit 2015
Microcontroller market: 12% growth in 2014 due to Automotive, id, wearable and IoT
Precision depositing quantum dot on nanofiber achieved for high security fast internet
India's First Open SDN Symposium on Jan 18 in Bengaluru
Solar PV, Inverter, and UPS drives India's MOSFET semiconductor market
IOT was the central focus at a VLSI design event held in Bengaluru
STMicroelectronics shipped 4-core Ultra HD STB ICs to several OEMs
Sierra Wireless acquires Sweden based Maingate
VLSI design training: not "all is well"
VLSI/Semiconductor tech 2015: At 7nm Silicon giving way to Ge, III-IV, CNT and Graphene
Cyient to own 74% stake in Rangsons Electronics
Electric circuit compensates for thermal distortion in HBT transistor
Its not microcontroller nor FPGA, it is the popular programmable SoC
Cypress' SRAM, FRAM, PSoC, Touch and Spansion' MCU, Flash in single platter
India based VLSI company Ineda Systems wins GSA award
Owning and swapping batteries in electric cars by companies
IIT Bombay wins Thomson Reuters India Innovation Award
STM32L powered tiny and accurate mems heart monitor from PulseOn
Design the best wearable to win IGNITE Design Contest from Tata Elxsi
Pointing and denying news-stories on Sony hack
Pulse Oximeter design using Dynamic NFC/RFID
Bluetooth Smart 4.2 IP from Mindtree is industry's first
Freescale acquires transcoding tech expert Zenverge
Telit Wireless enter into strategic alliance with Thiagarajar Telekom
CEA Leti presented 3D building of transistors at IEDM
EDF and electropreneur park approved by Govt for electronics manufacturing
Sony selects ST's security MCU chips
Zhaga develops new specs for COB LED arrays
3D CNT logic and RRAM memory device to outperform today's silicon chips
Synopsys' fiscal year 2014 revenue exceed US$2 Billion
Belden to acquire cyber security expert Tripwire
Samsung selling its fiber optics biz to Corning
Mitsubishi Electric to build a factory in suburbs of Bengaluru
Comet probes by ESA has 10,000 radiation-hardened devices made by ST
u-blox acquires automotive short range modules biz from Lesswire
Intel acquires PasswordBox and collaborate with Luxottica on eyewear
64 bit ARM processor core based supercomputer design is planned
Advances in nanoindenting help nanoscale material behavior
Veeco acquired Solid State Equipment Holdings LLC
ARM processor cores: Getting anywhere and everywhere
Zigbee compatible radios for smart homes
Cost of solar PV modules to reach 0.4/W and 0.5/W by 2016
DPI market is forecast by Infonetics to grow at a 22% CAGR from 2013 to 2018
NXP Semiconductors acquires wearable and Bluetooth IC developer Quintic
Cobham Semiconductor announce QML Q/Q+ certified MRAMs with IP from Everspin
MACOM to acquire BinOptics
Exar presenting Hadoop Economics at Open Server Summit
SCREEN Semiconductor joins imec in advanced node chip manufacturing
Ricoh India to supply IT hardware to Department of Posts
IBM and Ericsson collaborate on 5G antenna designs
A book on Carrier Ethernet by Fujitsu
TFT-LCD panel shipments for the inst cluster in automotives to grow 48%
NIT Delhi makes its certificates and mark-sheets unforgeable
NEC to collaborate with Singapore on e-health, IoT and smart energy
Piezo electric mems tech by Sand 9 granted US patent
Karnataka continue to lead in IT growth
Smartphone market in 2014: Its Apple vs Samsung vs Micromax vs Xiomi
Smart TV market is estimated to grow at 21% CAGR by 2018
Synopsys sold 3000 copies of its virtual prototyping book
MegaChips to acquire MEMS expert SiTime for $200 million
Wi-Fi networks at homes growing slow due to mobile broadband usage
Ganapathy Subramaniam appointed as the chairman of Tata Elxsi
SmartMX2 from NXP to be certified at EAL 5+
STM32 MCU chip used in smart lock by August
Jump in usage of System Verilog, UVM, and virtual in SoC design
Apple iPad Air 2 teardown: The semiconductor chips inside new tablet
New security solution to protect against supply chain attacks in enterprise sys
Work-culture panel discussion at Mentor's U2U India VLSI/electronics design event
Qualcomm to acquire CSR to speed-up its IOT growth
STMicro's Bipin Pande on automotive semiconductor trends in India
NeoPhotonics buys EMCORE's tunable laser and transceiver product line
A start up turns semiconductor into a gel for SoC VLSI design engineers
Automatic transmission to fully self driving in car: automation in progress
Mentor appoints x-Cadence executive as VP for PCB design
Microchip's comment linked semiconductor industry correction bubble bursts
Finally it's Globalfoundries taking over IBM's chip fabs
Semiconductor dust assembled to produce cheap solar PV and LED
Touch interface design: Capacitive Touch Sensor and ITO design Tool
Wearable device market to trigger huge growth in semiconductor and sensor
Apple ensuring enough processing power in its future products
Not much change in Xilinx latest quarterly revenue
Recorded webinar on "Bluetooth Smart powered IoT device" by CSR
India born semiconductor researcher's work helped LED inventors who won nobel
TI lists its copper wire-bonding achievements
Webinar on introduction to MIPI C-PHY
Mediatek octa-core SOC powered 4G LTE phones in India
MIPI SoundWire interface transports audio and control data
Wearable semiconductor market of $9B by 2019 with 30% CAGR
Solar PV technology roadmap for next five years is defined by efficiency
Entuple is the new value-added reseller of Cadence tools in India
eASIC support for C-RAN with CPRI v6.0
Semiconductor stock crashing! The difference between Microchip and others
Solarnomics: By 2016, solar pv energy to offer best value for money
Display driver IC market to reach $7.3 Billion in 2018
PC market forecasted to recover growth in 2015
Bharat Electronics Ltd & Thales form a joint venture in India
IHS: Smart water-meter market to exceed US dollar 1B by 2019
Growth in US year-end holiday shopping expected in 2014
Hubble Connected invests in Indian IoT company Connovate
Wi-Fi CPE market is expected to grow 11% in 2014, finds ABI
Synaptics completes acquisition of Renesas SP Drivers
Display audio infotainment system forecasted to reach 25.4M in 2020
Quite a big jump in solar installations in fourth-quarter 2014
Mentor wins patent infringement case against Synopsys
Light emitting diode: Eco valuable invention of modern physics
Tesla model S electric car now powered by dual motor and autopilot hardware
Renesas Electronics Singapore appoints Shigechika Motoyama as MD
Delphi to acquire Antaya and Unwired
Ericsson acquired smartgrid communication expert Ambient
Defence electronics opportunities in India: fruit not hanging that high
COMSOL to open office in Pune
SoC design: Secure your VLSI chip design from DPA and such attacks
ISRO: The inspiration fountain for Indian engineers
Electronics industry bodies welcome "Make in India" call
Murata acquire RF SoI specialist Peregrine Semiconductor
Exar completes merger with Integrated Memory Logic
Growth in investment in big data analytics, finds Gartner
Taiwan and China solar pv vendors goes for offshore production
Toshiba Shrinks its PC biz B2C offer and focuses on B2B
Free Event on silicon IP "unlock the mystery of IP" by Ipextreme
Spansion and Winbond sign patent sharing agreement
WAN accelerator technology from Fujitsu double n/w speed
Tata Elxsi demos RDK on ST's Cannes/STiH312 and Alicante/STiD12
Update on industry bodies IESA and MAIT
ID card chip makers Infineon, Philips, Samsung fined by EU Commission
SDN capable Ethernet switches and controllers up 192% y-o-y, as per a study
QuickLogic's sensor hub chip S2 fabricated by Globalfoundries
OEM embedded telematics systems to grow at a CAGR of 30.6%
Solar and wind power to produce Lithium batteries for Tesla electric cars
GaAs device market posts record revenues in 2013, says Strategy Analytics
Infonetics: Half of mobile infrastructure revenue in 2Q14 from LTE
Cloud adoption in India inline with global trend, as per a study
Berg Insight: 3G/4G to dominate cellular M2M by 2018
Android smart phone app usage findings by Yahoo
Electronic labels for shelfs market to grow 6x times by 2019, as per ABI
LDRA India establish common safety-critical platform
ZigBee certified products exceed 1K
A project on mm-wave key radio tech launched in EU
Echelon sells smart grid ops and acquire IoT company Lumewave
TTI to acquire HuaTong
3-D TSV semiconductor memory under production by Samsung
ST's Benedetto Vigna to deliver keynote at MEMS Forum
WBG to replace silicon in automotive power semiconductor fully by 2020
Wearable market in 2014; a vertical liftoff
Murata to acquire Peregrine Semiconductor
ST chips get into another Indian STB design
Beware of using counterfeit UL mark
A big acquisition in power semiconductor market: Infineon to buy IR
Mobile phone chipmaker Mediatek expands in India
VLSI design trend 2014: Silicon hungry software to silicon for app
MAIT praises PM's "Make in India" idea
Micromax Smartphones use Corning Gorilla Glass 3
Smart home market in US to hit $18 Billion in 2014, as per a study
Imec to work on optical and electrical interconnecting issues of silicon photonics
Toradex is now 'Proven status' partner of Freescale
Intel starts volume production of 14nm
Semiconductor market indicator see 9.5% increase in 2Q2014
PVMC to provide special tech services SoloPower in making thin-film solar
TE to acquire China's Xiamen Delixing/Sibas
Smartphone growth story in 2014 is as good as 2013
u-blox acquires Antcor
India based GTPL selects ST's STB chipsets
Broadcom is top supplier of SoC chips for small cells
Arati Naik takes over as the new COO at Smartlink
Panasonic to build a huge Li-Ion battery factory in US
New fabless semiconductor company by Fujitsu and Panasonic
OLED company JOLED formed by Sony, Panasonic, INCJ and JDI
Bluetooth Smart is most used wireless in mobile health and fitness devices
Cavium to acquire Xpliant
TI shipped more than 15 million automotive ADAS SoCs
eASIC ships its Ten Millionth ASIC
Top 5 smart phone vendors: Others, Samsung, Apple, Huawei, and Lenovo
DRAM bit growth falls, as per study by IC Insights
Top 20 semiconductor vendors in 1Q2014
ST opens audio lab in Taiwan for testing MEMS microphones
IITM invites tech papers on MEMS
Analog IC market lead in growth over logic and others
Bel Fuse closed acquisition process of Emerson CS and ABB Power One
Fairchild appoints new SVP for its power sys group
Analog IC vendor MPS acquires sensor expert Sensima
LED lighting and mobile devices driving power supply market
A new protocol for IoT in smart home
TEL and IME to research on room temperature bonding of semiconductor wafers
AMS Dialog merger deal not worked
GaAs epitaxial substrate revenues declining, as per a study
ST reports 2.1% sequential q-o-q growth in revenue
Green electronics metric EPEAT available in India
My little box dc-ac inverter design challenge by Google and IEEE
Microsemi acquires Mingoa
Semiconductor IP market to reach $5.63 Billion as per a market research report
Smart home market: security, energy saving and media streaming driving the biz
Q12014 mobile app processor market research findings by Strategy Analytics
$1 trillion communication equipment and software spending over next 5 years
Sony increases production capacity for stacked CMOS image sensors
Mentor Graphics appoints new SVP-world trade
Consumer semiconductor market to see good growth in 2014
India semiconductor market is forecasted to reach $52.8B by 2020
IT hardware SME conclave by MAIT in association with DeitY
FPGA leader Xilinx invests in MCU maker XMOS
U.S. FDA recognize two UL battery safety standards for medical devices
STMicroelectronics supports rural innovation in India by partnering with NIF
FDSOI; The only semiconductor tech to continue Moore's Law upto 10nm
Design health care mobile app using NFC
Growing IoT is great opportunity for India design service cos
Mouser exhibiting at ongoing Embedded Systems Conference, Bangalore
Apple mobiles getting powered by IBM big data, analytics and cloud
Emulex uses Synopsys' VIP for 1G/10G/40G/100G Ethernet chip design
Global broadband CPE market grew by 2% to reach $2.4 B, as per Infonetics
IHS: Capacitive touch controller IC market to grow by 50%
ASIC market 2014 study by IC Insights
Large-size display panel shipments increase 3.4% in June, TrendForce
2014 looks to be a good year for flash memory
Cypress Licenses 55-Nanometer NVM IP to UMC
Can IT and electronics manufacturing in India take off?
CSA opens its first testing and certification lab in Bangalore
5th edition of the SCM Practitioners Council by JDA
ESDM related event electronica India 2014 on 23rd Sept in Bangalore
Simmtronics to manufacture 10-15% cheaper Set-Top Boxes in India
Solar powered sustainable home built by IIT shunya team
Mid-2014 semiconductor manufacturing equipment market update
Semiconductor device market: 6%+ growth forecasted for 2014
IoT consortium formed by EE companies
CEVA acquired RivieraWaves
Mentor acquires XS Embedded
802.11n/ac with MU-MIMO to give a big push to Wi-Fi market
Variance linked yield challenge; A tough one for the semiconductor fab experts
Atmel to acquire Newport Media
Wireless audio catching up faster
Eteris is the name of merged biz of Applied and Tokyo Electron
Remote patient monitoring revenues will reach € 19.4 billion by 2018
1000 wafers per hour handling semiconductor wafer scrubber from TEL
5G speed on 4G air interface standards
Start-up VLSI/semiconductor IP companies in India lack escape velocity
N/w processor and multicore; Ezchip gains the edge through Tilera
Ericsson achieves 5G 5Gbps and Huawei 10Gbps 802.11ax WiFi
New ion implant tool from Applied for finFET and 3-D IC fab
RFID modules from SenseAnywhere uses ST's single chip balun
Audience to acquire sensor platforms
LED market in 2014: massive growth in shipments and revenue
Small semiconductor fabs shut due to Mega and cheaper foundries
Two interesting research on solar PV cells
Counterfeit semiconductors can be identified using Corelis' JTAG Interrogator
Total touch sensor area to reach 23.3 million square meters in 2015
12" CMOS Image Sensor, color filter and micro lens array in production in China
BEL announces new executive positions
KYOCERA to produce 1.4GW solar modules and has given the world 5GW
Dialog Semiconductor and AMS are discussing on biz merge
Altera's Interlaken IP is compatible with Cavium’s NEURON processor
STMicroelectronics; an early starter in sustainability
Synopsys suggests design service companies for HAPS FPGA protos
IOT street lighting control goes big
15th EDA event from Synopsys was houseful
Qualcomm's Snapdragon 805 powers LTE-A Smartphone by Samsung
TE expanding into sensor market through Measurement Specialties
HARMAN completes acquisition of AMX
TI promotes Brian Crutcher to executive VP, Steve Anderson to lead Analog
Cadence completes acquisition of Jasper
DEN Networks' STB powered by ST's Palma chipset
Toyota and Panasonic connect smart-car to smart-home via cloud
Analog Devices to acquire microwave component maker Hittite
Entropic to lay off 23% of its global staff
Airoha selects ST’s “Dynamic NFC Tag” Memories for streaming audio
Analysis by TrendForce on the latest acquisitions in touch and driver IC market
Sand 9 gets China-market expert Alan Zhou to its Board of Advisors
Analysis on Intel strategy in processor market by IC Insights
M2M, smart phone and 4G to grow faster
Semiconductor fab equipment forecast for 2014 and 2015 by SEMI
2.2% sequential revenue growth for Ambarella
Global smart home market to reach $100B in total revenues by 2018
ST and Tsinghua University establish joint embedded sys/electronics lab
Synopsys, ST Micro and Samsung collaborate on 28-nm FD-SOI
Book On USB interface to Android systems
Accellera enhances mixed-signal modeling and verification in Verilog-AMS std
Smart phone as primary computer and vehicle unit as terminal
Dream battery for your mobile and car is getting close to reality
Toshiba files memory IP patent infringement suit
eSilicon added GDSII portal
MEMS applications: It's just the beginning, lot of space to explore
Fujitsu to showcase its durable new resistive touch panels at Display Week 2014
New Atomic Layer Deposition processes for Cu and Nb2O5 in chip manufcturing
Sharp ranked as top vendor of solar PV module in Q1 2014
100G port shipments tripled in 2013
Mentor Graphics acquires EM simulation expert Nimbic
Solar PV Module is forecasted to grow by 30% in 2014
Demo of Ubuntu 14.04 LTS on ARM 64-bit server by AppliedMicro
Cypress to use IDEX' fingerprint tech in its touch controllers
Fitbit dominate global wearable band shipments in Q1 2014
ST ships 1 billlionth STM8 MCU
Dolphin Integration enable Dongbu HiTek’s users to benefit from their uHD standard cell lib
Jenoptik' laser technology to combat sea parasites
80% of Mobile PC and modem conn in emerging markets, as per a study
Sierra Wireless, Gemalto/Cinterion, and Telit lead in m2m module market
Non-IC semiconductor vendor ranking by IC Insights
Global automotive sensor demand to exceed $25.8 Billion by 2021
WiFi and Bluetooth biz to benefit from wearable market growth
How Raman spectroscopy is revolutionizing the field of healthcare technology?
Tesla rated as best connected car maker by Strategy Analytics
VoIP and IMS market by Infonetics: up 37% from 1Q13, down 9% sequentially
QuickLogic appoints Rajiv Jain as VP, worldwide ops
Osram opens LED plant in China to serve the growing local market
Automotive semiconductor market to grow at a CAGR of 5% over next 7 years
Fairchild acquires Xsens
Solar PV prices to remain stable due to growing demand, TrendForce
Landis+Gyr acquires smartgrid control sys vendor PowerSense
Coverity acquires cloud software company Kalistick
Emerson is selling its connectivity biz unit to Bel Fuse for $98 million
Cactus semiconductor select Dolphin library for their medical sys
Fujitsu uses image processing tech for patient monitoring
Delta, Emerson, and Lite-On remains top three of power supply market
Power semiconductor market: Q and A session with ST's Sapna Mongia
EUV semiconductor tech breakthrough by SEMATECH
Silicon Image acquires UpdateLogic
Futuresource: LFD display market posts 8.5% quarterly growth
Collaborated effort in offering automotive design platforms
Analyst: Fall in iPad shipments in Q1 was the sharpest ever
MEMS inside a baseball bat to help players analyse the swing
Samsung memory chip fab in China starts full production
Entegris acquires ATMI
Thin-Film Silicon solar PV share to fall in short-run
Semiconductor growth 2013: Chip: 5%, Equipment: -11.5%, Material: -3%, Assembly: 2.3%
Agilent acquires electrothermal analysis tech from Gradient
ST announces changes in top executives
Sony sells its VAIO brand PC business
Semiconductor market 2014: cool wind is blowing
Apr 2014
Brooks Automation to acquire DMS
Bel Fuse to acquire Power-One from ABB for $117 Million
Jean-Marc Chery is appointed as COO of ST
India semiconductor market growth faster than Asia for Farnell
Communications, computer, and consumer: 1,2,3 of chip market
Merged IC biz of Fujitsu and Panasonic target cloud, big-data and optical n/ws
Broadband CPE grew 7% sequentially in 4Q2013 to $2.6 billion, as per Infonetics
Accellera release libraries for SystemC core language and verification
Webcast on fixing Heartbleed for software developers
NEC employs Single Mask Adaptable ASIC instead of FPGAs
NXP MCU powered SAC e-passports in Germany and Switzerland
Logic PD and SeeControl partner in offering IoT solutions
Toshiba Starts 15nm NAND flash chip volume production
Renesas target India MCU market by establishing a subsidiary
Cadence to acquire Jasper Design Automation
STS Semiconductor and Invensas partner on BVA chip packaging solution
UL gains EU MID accreditation related to smart meter std
CSR CEO: On fabless biz and wireless tech
SEMI: Photomask market increased 1% in 2013
Large-sized panel shipment up 11% in March 2014, says TrendForce
Cypress to make custom optical devices for American Semiconductor
Samsung and Globalfoundries to share capacities for 14nm
Triangle of collaboration for finFET success
Combination of graphene and silicon to drive the next wave in semiconductors
Sensors and actuators market to grow by 14% in 2014, forecasts IC Insights
Efficiency of crystalline silicon-based solar PV touch 25.6%
Solar PV equipment market up by 6% in Q42013, as per SEMI
EDA tool market remains steady and strong in 2013
Processors from ST support the Chinese smart-home standard IGRS
TE Connectivity to acquire the SEACON
Samsung synthesizes large-area graphene into a single crystal on a semiconductor
In 10 years semiconductor material market has nearly doubled
ASE partners with Inotera to strengthen SiP packaging capabilities
Freescale to acquire Mindspeed's ARM processor business
INSIDE Secure acquired Metaforic
imec partner with ROHM in developing ULP wireless devices
NXP Semiconductors invests in Senseg
Wi-Fi Alliance now includes NFC tap-to-connect
Declining PC market, reasons many
IPC releases Conflict Minerals Data Exchange Standard
High efficiency solar PV in more demand, as per EnergyTrend
Samsung, Apple, Sony, and Google competing in the wearable market
Cloud-Managed Wi-Fi market is predicted to grow from US$ 653 M to 2500M
Change in management responsibilities at Exar
TSMC to Sell 5% of Vanguard International Semiconductor
Latest ranking of top 20 semiconductor vendors in 2013 by IC Insights
Advantech to employ Linear Tech Dust Networks tech for IoT
STM32Cube dev platform supports STM32 F2 120MHz MCUs
ST and Istituto Italiano di Tecnologia sign R&D agreement
mi!SmallCellPHY software is integrated with CommAgility's AdvancedMC module
Maxwell Guider selects ST's MEMS sensors for activity trackers
8 bit MCUs getting reinvented with improved performance
Security in microcontroller is hot area of focus in 2014
Mar 2014
Quantum and Silvaco to develop TCAD model for Si-Ge-C Superlattices
RF EW sys forecasted to grow over $9.3 billion through 2022
ISSI and Spansion sign patent license agreement
Non-IC semiconductor market is forecasted to grow by >8% in 2014
DLNA releases CVP-2 for viewing TV content on multiple home screens
Why only Synopsys and Cadence, Mentor also did a smart acquisition
ST's chips to enable UHD in SoftAtHome's set-top box systems
Open-Silicon and GLOBALFOUNDRIES together built a 2.5D chip
Self alignment helps in fabricating 16nm memory chips
Brooks Automation sells its Granville-Phillips biz to MKS
CEVA, SMIC and Brite Semiconductor partner on hard macro IP
Magillem to commercialize ST's TLM modelling
The top capex spenders in semicon industry for 2014, forecast by IC Insights
China, Latin America and India driving pay TV market, says Infonetics
The top ten IC market segments by growth
WLP method independent of incoming wafer sizes
Infonetics: OTN revenue totaled $8 billion in 2013
ZiiLabs files patent infringement lawsuit against Samsung And Apple
Connected-Labs to assist Mstar on web stds
RFMD and TriQuint to merge
4 Millionth 10G OTN Port shipment by AMCC
India's share in global mobile phone market: 15% by shipment
Challenge the Unconventional—Make Unipolar DACs Bipolar
HMCC release initial draft of Gen2 specs
Tokyo Electron and Applied receive CFIUS Clearance
Feb 2014
Automotive camera volumes to exceed 100 million units by 2020, as per a report
Combined IoT solutions from Jasper and Axeda
Sola PV farms in the range of 250 KW- 5 MW account for half of undergoing projects
1 Trillion devices by 2016: semiconductor everywhere and anywhere is the trend
QLogic to acquire some of the Ethernet controller assets and related IP
Fuji Electric to sell its solar PV cell business to FWAVE
NFC Tag; an important component for IoT
India semiconductor fab: LG, Samsung may be the next
Wolfson selects India based Incube solutions for audio integration
Analysis on Intel making ICs for Apple
Tutorials on latest in EDA and IP standards by Accellera
InvenSense and ST settle patent litigation
CEVA's DSP powered demos at MWC
TI names Kim Wong as VP of signal and datapath solutions
Rudolph sells it new TSV metrology sys to CEA-Leti for 3D IC measurement
SEMI: Silicon wafer area shipments slightly up but revenues down in 2013
Opinion: US complains on China and want India not to restrict US on Solar PV
The immediate market for two India semiconductor chip fabs
Report on IBM selling its semiconductor biz; who are the potential buyers?
ESDM component supply chain: Rajamane's battery packs and enclosures
Transphorm and Fujitsu to merge their GaN power semiconductor biz
MStar licenses Ravi's DivX HEVC tech for its STB SoCs
Rudolph received lithography orders worth more than $11 million
MtronPTI acquires some filter components assets from Trilithic
Cadence to acquire Forte Design Systems
Ericsson to acquire Azuki Systems
eSilicon adds packaging services to its online multi-project wafer quote system
India electronics and semiconductor; Count down to take-off begins
Business leaders see more stable semiconductor market in future, as per a survey
Huge growth of smart phone shipments in 2013
Renesas sells its semiconductor facility to Sony
JVCKenwood to acquire EF Johnson
Electronics gets attention in the Karnataka's new IT incentives
Mentor acquires Mecel Picea AUTOSAR Development Suite
Jan 2014
Automated setup for robust testing of USB2.0 physical layer at subsys Level
IPC APEX India invites papers in electronics manufacturing
EMS/ODM market to resume growth in 2014, as per IPC
Cadence and Berkeley settle lawsuit
Toshiba acquires OCZ
Schneider Electric acquires industry automation expert Invensys
India based Moser Baer sells 100 Cr worth solar PV modules in Japan
Semiconductor market 2014: some bytes from experts
Silicon carbide (SiC) taking over power semiconductor market
Hack-free semiconductor Ics becoming necessity
Electric car maker Tesla exceed revenue growth guidance
Top VLSI design white papers at Aldec
3D-Micromac AG acquires laser division of Jenoptik
Spansion and Macronix fight over patent violation in flash memory manufacturing
Tessera to close its mems camera module manufacturing operations
Bel Fuse opens R&D centre in China
TowerJazz making resonant type wireless charging ICs for MAPS
Mobile health is biggest market for M2M, as per Strategy Analytics
Exar acquires software configurable processors expert Stretch Inc
Top-ranked electronics and semiconductor companies by patents growth
Tektronix acquire high-speed communication test specialist Picosecond Pulse Labs
3D and 2.5 D explored in semiconductor manufacturing for its economics
FinFET, FDSOI and Suvolta's DDC working at deeper nodes
Google, Apple and Microsoft: Strong competitors in connected-world ver 2.0
Aeroflex wins $20 million worth of mixed-signal semiconductor contracts
IC Insights forecasts cellphone app-processor to grow by 19% in 2014
Innovation is the fuel for profit and so is the EDA for electronics
India ESDM 2014: Speed is the name of the game
VESA enables single connector for display, power and data
Bosch starts IoT biz company
Supercomputer-advancement by Fujitsu for simulating transistor for Ultra LSI chips
Easy-to-make-polymer researched for improving organic solar PV efficiency
Gartner estimates IT spending of $3.8 Trillion in 2014
Symphony Teleca appoints Subash A K Rao as Chief HR Officer
Toshiba acquired T&D business of India based Vijai Electricals
Microsoft's Kinect for Xbox One uses ST's chips
Microcontroller market to grow by 15% driven by IoT, solar, smart-home, and electric vehicle
ViXS to demo Ultra HD 4K and media transcoding at CES 2014
eMemory and SMIC expand non-volatile memory partnership
TERI: 100% renewable energy by 2050 in India is possible
Sidense 1T-OTP meet JEDEC specs at TSMC 28nm
CEA Leti and ST getting close to PCM memory integrated MCUs
STM32 developers can now get the benefit of ARM mbed
Indigenous GSLV cryogenic achievement; more valuable than Mars Mission
Achived News (dec 2013-jun 2013)
Achived News (Jan
- May 2013)
Achived News (Jan
- Dec 2012)
Achived
News (Jan - Dec 2011)
Achived News (July
- Dec 2010)
Achived News (Jan - June
2010)
Achived News (2009)
Achived News (2008, 2007, 2006)
|