December 2012
2013: Stable growth for NAND flash memory is forecasted
Optical transceiver market to grow by 7% to reach $2B in 2013, as per Infonetics
ISO approves latest version of the Ada programming language
Year 2012: 28nm super success and 14nm and 16nm are ready for big in 2013
India’s own OS under development can boost the Indian IT industry
ABI: Wi-Fi enabled device shipments reach 5B in 2012 and 10B by 2015
Small cells in public venues to grow CAGR 67% to $3.1B in 2018, estimates ABI
IDT not acquiring PLX
Riscure accredited as independent testing lab for DPA tests
IBM develops IT systems to support electric car charging anywhere in EU
ZigBee Alliance adds energy harvesting battery-free option in its new specs
Top IT software/systems
predictions by Gartner for 2013
OLED display shipments are expected to reach 191 million in 2012
Iton has selected Cypress' 2.4-GHz WirelessUSB-NL radio-on-a-chip
Dongbu HiTek boasts of two big wins in China mobile device market
Imec and Synopsys collaborate on TCAD models of 10nm FinFET
ABI: RF power amplifier sales for wireless infrastructure to reach $2.4B in 2012
ST Microelectronics to focus on sensor, analog, discrete power and embedded
WBA and Small Cell Forum to work on integrating Wi-Fi and small cells
Infonetics: 100G to rise from 5% of deployed wavelengths in 2012 to 37% in 2015
High speed CompactFlash memory cards from Toshiba for digital cameras
Gartner: Semiconductor chip fab equipment spending to fall by 9.7 percent in 2013
The tricky semiconductor market is tough nut to crack for market analysts
Solar PV market 2013: Drop in revenue, growth in installations
Volvo cars to use Ericsson's connected vehicle cloud
Most viewed VLSI design verification papers by Aldec
Sony to support Watchdata in developing NFC solutions
Go green by turning car shelters into EV charging stations
Global DDoS prevention appliance revenue to reach $272 million in 2012
Standard for NAND flash device interface interoperability by JEDEC and ONFI
Cosmic’s Nebula 2012: Winners of analog circuits design contest
Radisys, SK Telesys and Mindspeed integrate VoLTE for small cell base stations
Cochlear and NXP extend partnership on hearing aid electronics
Toshiba enters wireless charging IC market
Panasonic started HIT solar module production in Malaysia
Low cost tablet makers to cut cost by smart sourcing and supply chain tricks
CEVA' bid better than Imagination, says MIPS
Temperature characteristics-free crystal device uses atomic diffusion bonding tech
Vivante claims its IP cores are widely adopted in ADAS automotive applications
Quad core processor, a norm in mobile and PCs in 2013-14
New updated IEEE 802 wireless standards supporting smartgrid
TÜV Rheinland to hire 600 engineers and other staff in next 3 years
ST readies 28nm FD SoI fab to compete better in convergence market
TI's Jacinto 5 powers Audi car's infotainment system
Fujitsu uses Wind River' software to develop its disk storage systems
NXP and Gemalto are the highest ranked smart card and IC vendors, as per ABI
IEEE 802.11ac Wi-Fi: Trends, Technologies and Reliability
IBM gets further closer to photronics chip; combination of photonics and electronics
Toshiba develops STT-MRAM chip outperforming SRAM in power consumption
SMIC to supply CMOS wafers to produce MLU for Crocus
68% notebook PCs quadcore based by 2016 compared to 13% in 2012
Dynax Semiconductor to make GaN devices using Aixtron's equipment
DLNA, HomePlug, MoCA and Wi-Fi to conduct live demo at CES 2013
Semiconductor companies Sony and Renesas reported no damage from Earth Quake
Semiconductor tops the top-100 innovators by Thomson Reuters for 2012
Medical Electronics chips: Precision along the signal chain in a single IC is the focus
Telecom n/w implementation: 100G; full speed, 400-500G; normal, and 1T; not-in-hurry
Cambridge Consultants to develop subscriber equipment for Iridium NEXT
Webinar on medical electronics by ADI
IEEE Fellows from semiconductor domain conferred in 2012
Windows 8 fail to provide launch boost for the PC market
November 2012
STMicroelectronics and 3H partner in offering digital TV for Inner Mongolia
Panasonic to license its high-speed powerline communication tech from Jan 2013
Berg Insight: Revenues for mobile location platforms to reach € 330 million in 2017
Event to learn latest PCB design at Bangalore on Dec 4, 2012
Sensors
from ST and PNI used in Wii U
In 30GHz+ scopes, the differentiator is compound semiconductor material
The 4th leader in EDA space Berkeley emerging faster
Touch sensor market prediction by DisplaySearch: In-cell and DITO hold better future
Tech to hide from infrared camera
20nm FPGA follows successful production of 28nm
Walking robot by Toshiba for nuclear plants and such hazardous zones
Online video to push the 3G/4G traffic and the smart phone sales
Smartphones to consume more flash mem chips than feature phones
Tough choice for MIPS between CEVA and Imagination
Top 5 semiconductor markets 2012: Comp, Comm, Cons, Auto, and Industrial electronics
MEMSIC receives acquisition proposal from IDG
Top 5 global flat TV companies 2012: Samsung, LG, Sony, Sharp, and Panasonic
CEVA joins HSA Foundation
Course on IC layout design by University of Pune
Intel's Paul Otellini to retire
SiS touch controllers powers touch feature of Windows 8 systems
Solar Polysilicon price falls steeply in October
verificationacademy.com features coverage cookbook
Broadcom' wireless chips used in Wii U gaming device
Infineon automotive TriCore MCU reach 100 million count by shipment
Graphene on nm scale SiC steps by Georgia researchers
Chirality problem of nanotubes solved by group of scientists
Accellera invites nominations for awards in EDA and IP standard creation
MonetizeLTE.com for ideas to monetize LTE services
Data center security appliance to grow to $2.7 billion by 2016, forecasts Infonetics
TI trims employee-size to align its biz more on non-mobile tech
Toshiba resumes production at semiconductor fab in Thailand
Gartner estimates 1.2 Billion of smartphones and tablets to be sold in 2013
WiFox: WiFi performance boosted by 700%
Micron and AgigA Tech collaborate on NVDIMM
Symmetricom’s SoftClock is certified for Cavium’s OCTEON Fusion
Creonic GmbH joins Aldec's UNITE Programme as IP Partner
Broadcom contributes NFC software stack to Android Open Source project
MIPS goes to Imagination Technolgies
Fujitsu to start volume production of GaN power devices by the 2nd half of 2013
Infineon promotes its DCLB interface for NFC communication
T&M expert Anritsu opens 100% India subsidiary in Bangalore
Top 20 semiconductor companies 2012 ranking update by IC Insights
Low cost and mainstream PCs are more in demand compared to high-end, as per IHS
3G/4G SON software and 2G/3G optimization software sales to grow 16% in 2012
Doc by JEDEC and ONFI defines standard for NAND device interface interoperability
NXP claims its secure MCUs holds major share in Germany’s eHealthcard deployment
Aldec to present paper related h/w and s/w co-verification at an event on 19th Nov
RFMD to acquire Amalfi Semiconductor
LEDinside: LED package industry to grow 9.6% YoY at US$11.27 billion in 2013
Notebook shipment down by 4.6% and ultrabooks estimated to grow by 30%
Opto-sensor-discrete semiconductor market to grow only by 2% in 2012
Imec and Nantero to make non-silicon memory using carbon nanotubes
Femtocell survey by Infonetics projects 211K femtocell subscribers by 2013
ST plans aggressively to deploy ARM Cortex-A57 Processor
Huawei opts Broadcom's small cell baseband processor silicon
Cadence tapes out 14-nm ARM Cortex-M0 test-chip using IBM’s FinFET process
IDT develops wireless power IC based on Qualcomm's WiPower tech
ST uses Synopsys TetraMAX ATPG and Yield Explorer to ramp chip yield
Error-free Chip-to-Chip signal transmission at Gb/S speed using redriver
Open-Silicon uses Cadence Encounter to achieve 2.2 GHz on dual-core Cortex -A9
BittWare supports Altera's SDK for OpenCL on the S5-PCIe-HQ board
NFC tag IC features UID ASCII mirror and NFC counter
MEMS accelerometer sensor from MaruLSI embeds Kilopass NVM XPM IP
Hardware enforced security based on the ARM TrustZone secure arch
Chipmakers cut commodity DRAM and increase mobile and server DRAM
Windows 8 not to trigger DRAM demand like its predecessors
GPS devices forecasted to grow from $6.5B in 2011 to over $8B in 2017
ISA Chairman: India strong in semiconductor and software but not putting it together
Infonetics: Sales of MoCA set-top boxes rose 33% in 1H12 over 2H11
Linaro's list of members grows big
Free white paper on IP packet networks by Infonetics
Agilent sets up terahertz lab at the University of Leeds
Cadence claims its customers reduced verification time from months to weeks
October 2012
Infineon continues to top power semiconductor vendor ranking
Altera benchmarks complex Floating-Point DSP designs on 28 nm FPGAs
ST hosts IEEE workshop in Noida
Speakers at CDN Live: Exciting time for semiconductor industry
Vision-processor SoC from ST based on Mobileye' tech deployed in one million vehicles
Total communications IC market forecasted to reach $114.4 billion in 2014
Consortia working on next phase of non-CMOS switch development
e-models of KEMET’s CBR Series capacitors for circuit simulation
ST's experts win cryptography competition by NIST
CEVA and Galileo partnering to offer software-based GNSS for the CEVA DSP platforms
Primax uses IDT' chips in its wireless charging products
Mobiveil to add support for the RapidIO 10xN specs to its SRIO digital IP controllers
Mindspeed's Comcerto processor selected by Genexis for its CPE products
Synopsys' 3D chip design tools supports TSMC’s CoWoS reference flow
M2M Software Platform revenues to reach $3.85 billion by 2017, says ABI Research
Top six Wi-Fi IC vendors: Broadcom, Qualcomm, MediaTek, Marvell, Intel, and TI
Yocto Project added to Auto IVI software platform by Wind River
ABI: Wireless infrastructure revenues still a downtrend in Q2-12
HP ProLiant Gen8 servers using AMD Opteron 6200 processors achieved highest Vmmark
CEVA and NXP Software partnership to deliver HD voice processing solutions
Avago announces multiple design-wins for its products based on FBAR filter tech
Foundry-first Reference Flows from TSMC supporting 20nm and CoWoS
Telehealth fitness (“Fit”) shirt to be displayed by Maxim at electronica 2012
New method discovered to estimate remaining charge in battery
Ipan Ipan chooses IDT’s power transmitter for Qi-certified wireless charging solution
Solar International awards SoloPower with Solar Industry Award
MEMS: Small improvement in performance cause big change in applications
Bridgelux made LED arrays at two new retails stores of Future Group
Combo motion sensor market to surge >700% in 2012 pushed by tablets and smartphones
Reduce switching loss thru’ asymmetrical parasitic inductance in power conversion circuits
Core Logic implements Calypto’s PowerPro tool for advanced RTL power reduction
ZigBee Alliance rejoices its 10 years of success
4K TVs may act as transitional step to AMOLED TVs; low consumer demand to 4K: IHS
IPC’s conference on Indian electronics manufacturing industry in Bangalore
Server and mobile DRAM production ratio to account >40% in 2012: TrendForce
Synopsys acquires emulation expert EVE
ST’s body-wear sensor powers Preventice’ Remote Monitoring System
TEL participates in imec’s bio-research program Human++
4G data price is 20% higher than 3G, says ABI Research
Vendors may get squeezed out in Indian managed services market: ABI Research
7.5%-11% rise in 2H Sept mainstream NAND Flash contract prices: TrendForce
Counterfeit incidents maintain record rate in 2012: IHS
Indian Green IT and Sustainability initiatives to reach $70bn by 2015: Gartner
Synopsys IC Compiler helped Open-Silicon attain 1.3GHz performance on ARM Cortex-A9
ST picks Agilent’s 3-D EM Simulation software for ESD development in 40-nm Ics
2nd half not as good as 1st half of 2012 for semiconductor foundries, forecasts IHS
Semiconductor basics: IIT Bombay offers IC manufacturing course
Avnet completes the acquisition of Magirus Group
Vehicles with safety and security telematics solutions to reach 49% in 2017: ABI Research
Sharp’s WirelessHD adapters utilize Silicon Image’s technologies
Webinar on JESD204B serial interface’s benefits: Intersil to join Avago and Avnet
SPI 2012 saw low turnout and popularity: EnergyTrend
steute picks Energy Micro’s EFM32 Tiny Gecko to power its RF95 radio position switch
September 2012
Micrium's uC/TCP-IP stack integrates Mocana’s SSL solution
Mobile core gateway spend raised by 14% in 1H12: ABI Research
Sysgration selects Cypress's 2.4-GHz WirelessUSB-NL solution
Rambus signs 6-year patent license agreement with Fujitsu
MoSys to discuss on networking memory solutions at Linley’s conference
N. American semicon equipment industry posts August 2012 book-to-bill ratio of 0.84: SEMI
IPC looks for papers, course proposals and exhibitors for the 1st ESTC and exhibition
PV Taiwan 2012 to showcase latest trends and technology in solar PV manufacturing
Ubee-AirWalk selects Wind River’s VxWorks for its enterprise femtocell on Sprint network
Tim Lu joins Exar as Asia VP of Sales
Avnet Express promotes Andy Thorne to VP, global e-Commerce merchandizing
MHL technology standard included in the finalist for 2012 Mobile Excellence Award
AIXTRON’s reactors ordered by AZUR SPACE Solar for CPV solar cells
Ericsson expands OSS/BSS offerings by acquiring ConceptWave
NETGEAR’s Push2TV wireless display adapter powered by Cavium’s media SoC
Imec and Solvay achieves organic photovoltaic module’s efficiency of 5.5%
Toyota’s eQ electric car to use Panasonic’s lithium-ion batteries
By 2016, cloud-based security services to rise 83%: Infonetics
MHL Consortium and Futuresource focuses on trends in mobile industry
MEMS sensor market in mobile devices to reach $2.8b in 2017: ABI Research
Mobile threats explodes mobile app security market to $389m by 2012: ABI Research
9 out of 10 media tablets made by contract or outsourced manufacturers in 2012: IHS
Xilinx acquires Modesat Communications to strengthen wireless backhaul solutions
Micron captures 20% share in NAND flash market for the 1st time: IHS
Concentrated PV (CPV) to grow swiftly to reach 1.2 GW in 2016, expects IHS
4G couldn’t help the fall of Europe’s mobile network capital expenditure: ABI Research
IEC and IEEE publishes new global standards for condition monitoring at nuclear plants
ABI Research rates Qualcomm ahead of Broadcom in GPS IC vendor market
MEMC and Conergy ends wafer supply agreement
DRAM usage in smartphones to surge by 50% in 2012: IHS
LTE subscribers overtakes WiMAX subscribers in 2Q12: ABI Research
Magnetic sensors play a key role in green energy initiatives: IHS
Cadence’s Allegro PCB technology uses Microsoft SharePoint
Many iPhone 5 components vary while most suppliers remain the same: IHS teardown analysis
Semiconductor and software: Lot more to come
Sony announces spec. changes to CMOS image sensors and imaging modules
DJSI names TSMC as the semiconductor sector leader
Taiwan’s domestic solar demand weakened by the steep dive in FiT, says TrendForce
BTU to showcase thermal processing solutions at PV Taiwan
PCs account for 49.0 percent of DRAM shipments, the downtrend continues
Tablet display shipments in 2012 to go up by 56% globally, reports IHS
Analog’s Jerry Fishman continues as CEO; Vincent Roche promoted as President
Ericsson’s new R&D center opened in Nanjing, China
Cypress Semiconductor finally wins in acquiring Ramtron
Strong wireless sensor network market, Zigbee leading: ABI Research
ABI Research places NXP, Ingenico and Gemalto at top 3 in id sys biz
Kilopass ups eNVM memory capacity by 4x to 32Mb
Technology is vital for Indian electronics manufacturing success
Fujitsu researchers reveal optical innovations at ECOC
AudioCodes IP phones are getting compatible with Microsoft Lync
Cisco is the leader in Carrier Wi-Fi, says ABI Research
Cypress again stretches bid to acquire Ramtron
Charlie Roach named as the VP of Inphi’s worldwide sales
Belden sells its consumer electronics assets in China
ABI: Traditional uses of RFID to increase by $2.8 billion from 2012 to 2017
590 certified USB 3.0 products; doubled within a year
iMB powered 32GB LRDIMM doubles server capacity
IEC adopts four USB-IF specs related to data transfer and charging
Toshiba's SCiB battery used in Suzuki's Wagon R for regenerative braking
'hybrid metrology' by NIST to measure nm dimensions in a semiconductor device
Freescale CMO: Good times ahead for semiconductor market
Wireless charging tech: what's latest in this hot new feature
The yojana to connect India with its own-tech is on
Alstom and Toshiba to collaborate on smart grid
Fujitsu Semiconductor transfers a production-unit to J-Devices to shed fab-mass
Global n/w security appliance and software revenue grew 4.4% in 2Q12, as per a study
August 2012
Automotive Electronics: Infineon gear-up to serve changing market
STATS ChipPAC achieve new milestone in 3D semiconductor tech
Tokyo Electron commences tender offer to acquire FSI International
Cosmic to hire VLSI design engineers to support its fast growth
ABI: Each of DAS and enterprise small cell equipment to reach $2 billion mark by 2016
Qualcomm acquires small-cell base-station expert DesignArt Networks
Wi-Fi Alliance launches the Wi-Fi CERTIFIED TDLS program
Negative revenue growth in 2012 for many semiconductor companies
Precision analog and a smart digital semiconductor in a SoC or SIP is hot trend
FTF 2012: More software, more SoC and more colorful
ABI: Driver-assist-sys revenues to reach $460.8 Billion by 2017
Tehuti selects Kilopass' XPM NVM IP for its 10GbE controller
Vivante joins parallel computing consortium HSA Foundation
NAND flash price stable due to tight control of supply by Toshiba and Samsung
ASML gets investment from Intel and TSMC to insure its innovation
The component purchase of Asia-Pacific OEMs growing faster than global OEMs
Focus shift in U.S. electronics manufacturing: Off-shoring to on-shoring
Fuel-cell electric vehicle research progressing for a faster commercialization
Latest display and touch tech leveraged by smart phone makers
IBM to acquire solid state memory expert TMS
"Energy to Smart Grid" research by German companies to cut distribution loss by 20%
Infineon wins U.S. Government Contract for supplying security chips in e-passports
Samsung GALAXY handsets for China Mobile powered by Marvell PXA920 processor
Dysprosium-free Samarium-Cobalt magnet for motors intensify magnetism at high temp
SEMATECH reduces defects in EUV mask blanks
Speech recognition in mobile to leverage cloud for computing resource
Zigbee software stack of Telit for M2M gets certification
MATLAB EXPO 2012 concludes in Bangalore
'IC Cube' draft specs for semiconductor memory released
Applied to provide GLOBALFOUNDRIES' Dresden Fab with 24x7 support
Aeroflex gets QML-V qualification for its chips fabricated at MagnaChip's fab
Sigma’s Z-Wave gets approval for use in Japan
Mobile DRAM estimated to grow at 10% in 2012
DRAM price decline forcing vendors to cut production
Cloud computing outsourcing estimated to grow at 48.7% in 2012, as per Gartner
Hittite reduces list price of its HMC-C07x series RF amplifier modules
Kilopass expands XPM NVM IP on 180nm Dongbu HiTek BCD process
ST Micro acquired pico-projector tech expert bTendo
Imagination estimates shipments of OpenGL ES GPUs to reach 1 Billion by 2014
ST's 32 bit ARM Cortex M offerings is built for price/performance
Infineon's tire pressure sensor chip wins award from Denso
Synopsys to acquire SpringSoft to strengthen its leadership in verification
Smart phone shipment in China grew by 199% y-o-y, as per study
HP's USB 3.0 docking station employs DisplayLink DL-3900 USB graphics chip
Canalys: Global client PC shipments rose 12% y-o-y in Q2 2012
Latest smart phone shipment 2Q2012; Samsung up, Apple and Nokia down
SOLARCON India 2012 in Bangalore on 3rd Sept
3D, smart TV and LED backlight featured large screen TV are hot in U.S.
Latest LCD panel market data by TrendForce
Taiwan based ITE gets IDT's timing patent licenses
Cavium licenses 64bit ARMv8 architecture
Wireless mobile drives semiconductor market in 2012
Semico: $42 billion analog semiconductor market with 90+ players
July 2012
Karbonn tablet priced Rupees 6999 is powered by MIPS processor
Rules to make your C/C++ code robust for high-rel embedded systems
Avnet bridges semiconductor vendors to OEMs via multiple service layers
Solar PV Inverter growth estimates by IMS: 25% shipment and 3% revenue
Toshiba to mass produce white LEDs from Kaga factory from October 2012
IP owning is major cause for biz growth for chip equipment suppliers
Rambus tests its memory arch using GLOBALFOUNDRIES 28nm SLP
ROHM to manufacture FRAM powered chips for Ramtron
TSMC to match FinFETs with ARM's 64-bit cores
IDT acquires Alvand Tech and ADC/DAC product-line from NXP
Cypress Semiconductor not giving up Ramtron, rises the offer
N.American semiconductor equipment booking declined in June 2012
SSDs yet to shake up HDD use in PC and notebook market
Touch screen module market: $16 Billion in 2012 to $31.9B in 2018
The broadband CPE market grew 4% in 1Q12 to $1.76 Billion, as per Infonetics
Microsoft Surface and Windows 8 predicted to change the mobile market
Printed electronics gets operational-level standard
3x more shipments of PCs in China compared to worldwide
China's new solar PV target boosts solar market
Embedded SIM in M2M systems growing fast, as per IMS
Global smartphone market: $45.9 Billion in 2012 to $237B in 2016
NOR flash memory market: Spansion, Samsung and Micron are key players in mobile
TSMC race to top in MEMS fabrication services with 200% growth
The Wi-Fi Alliance initiates a task group to build upon Wi-Fi Direct
Intel's 2nd Q 2012 revenue readings
Melange's wireless module enables remote smart meter reading
Directed Self-Assembly is hot in semiconductor fab R&D, TEL partners with Imec
Radio controlled car uses Cypress wireless USB-LP RF chip
M2M AEP software to grow nearly 10x to $1.7 billion by 2017, ABI predicts
Soitec and Silian to jointly develop gallium nitride template wafers for LEDs
Infonetics rates Cisco as top vendor of web security SaaS
DDoS activity to drive the prevention market to 24% growth in 2012 over 2011
MIPS processor IP cores gaining popularity in China
Open-Silicon’s chip-to-chip I/O IP employed in Netronome’s Flow processor ICs
DRAMeXchange: LPDDR3 shipments to constitute 20% of total mobile DRAM in 2H2013
Discretix to develop products with CRI's DPA countermeasures
VLSI chip design software revenues up by 10.2% to $350.9 million in Q1 2012
ATopTech has selected Berkeley’s Analog FastSPICE platform
Aldec and Agilent connect ESL and RTL by linking simulation environments
European Commission clears Kemet acquiring 34% interest in NEC Tokin
SEMI honors semiconductor standard developers at SEMICON West
Infineon security chips to power S.Africa's SASSA smart cards
Ricoh selects EVE's ZeBu for its ASIC and SoC chip design
Harvard researchers present self-thermoregulating nanomaterials
Lattice uses new instrument from Teradyne to test analog peripherals in FPGAs
KPIT and Sankalp Semiconductor innovate in pooling resources
IP subsystem use: Major trend in SoC design
Semico: MEMS oscillators to grow at a CAGR of 85.6% during 2011-2016
Lattice semiconductor goes for UMC foundry to compete in 28nm FPGA space
Mentor Graphics Nucleus RTOS in MIPS32 34K rise performance by 20%
Price-per-watt matters more than efficiency for solar PV buyers
Taiwan's LED vendor ranking update
AlI-in-one desktops and ultrabooks are on fast-growth path
Teardown analysis of Google Nexus 7 finds $151.75 worth of BOM
LCD monitor market going down in 2012, as per WitsView
Imec and SK Hynix extend research collaboration
M-SIP and EMC for electronics and semiconductor industry approved by the cabinet
Slow growth of LCD TV in 2012 compared to 2011
Infineon uses Spansion flash memory chips to store graphic data in MCUs kits
Late entry into industrial computer domain costs AMD's growth
Imec uses cost-optimized process to make 20%+ efficient solar PV cells
Toshiba hiring R&D engineers
in India in software and energy space
Imec reports silicon photonics achievement
Intel to invest in ASML to fast develop semiconductor equipment
Douglas A. Neugold to continue to serve as SEMI chairman
2012 to see a semiconductor equipment spending of $42.4 Billion
Wi-Fi access points sales to jump from 650K in 2012 to 6.8M in 2017, as per forecast
Processor benchmarking EEMBC is praised for its growing popularity
Silicon wafers from MEMC supporting FinFET semiconductor tech
DUV laser system from Applied finds defects in 20nm IC chips
Management changes in semiconductor business of Qualcomm
Reliable EUV light for semiconductor lithography/etching for nodes deeper than 20nm
Tel Nexx extends collaboration with IBM on 3D semiconductor tech
Gigaphoton enhances its laser light source feature to help reap higher yield
Smart payment card shipment to increase to 3.5B in 2017, as per IMS
AIXTRON demos carbon nanotube equipment as part of TECHNOTUBES
ST's go green taken to next big level in 2011
Ramtron finds Cypress Semiconductor offer is not worth
IMS: World market for machine vision was worth nearly $2.9 billion in 2011
Broadcom and Emulex settle some of their patent license issues
ST Micro and China's HIT establish joint electronics design lab
Tablets to exceed Notebooks shipments by 2016: NPD DisplaySearch
Infonetics’ 1Q12 Femtocell market: Airvana is no. 1, 2G/3G revenue down 2.7%
IHS: Continuous drop in CE equipment prices makes revenue growth to slowdown by 2015
Short-term NAND Flash market recovery by reducing 3-5% contract price: TrendForce
15 peripheral modules released by Maxim are compatible with the Pmod standard
Bluetooth 4.0 supported by TUV Rheinland
Digital TV feature in leading phone brands powered by Newport Media SoC chips
OEM connected car systems to rise from 11.4% in 2012 to 60.1% in 2017, as per ABI
Renesas to cut workforce and production plants
Broadcom buys more licenses from MIPS
Korean DRAM fab to use Aixtron deposition equipment for sub-30nm chips
Brite Semiconductor to use Cadence' DDR PHY IP in its latest chips
Murata acquires RF Monolithics
Cadence acquires signal integrity specialist Sigrity for $80M
Rank no.3 and 4 join to overtake rank no.2 in DRAM market
TI donates Fairchild’s IC making idea books to Computer History Museum
Panasonic bagged IEEE corporate innovation award for HIT solar technology
Cadence Encounter improves Ambarella’s SoC performance
Tablet usage for video watching has doubled, as per survey
SEMI: 1Q12 Solar PV manufacturing equipment billings declined
Electronics hardware manufacturing cluster in Ananthpur
Infineon, Mitsubishi, Toshiba, STMicro, and IRF are top 5 of power semiconductor market
VME and VPX embedded boards/modules to reach a market of US$600M by 2016
Digital signage hardware with size of $6 Billion to grow at 8.5% CAGR through 2016
ISMI event focused on reducing chip manufacturing cost
ARM, HP, and SK hynix join Hybrid Memory Cube Consortium
ZiiLABS and Symphony Teleca demo camera application in a tablet using openCL
Fujitsu Semiconductor
strengthens its fabs for a fast production-restore from disasters
Infonetics: Inevitability of an all-IP mobile n/w is leading operators to IMS
300K Voice over LTE subscribers in 2012, forecasts Infonetics
Bourns buys Jensen Devices’ assets
Amulet Technologies expands its European markets
NXP’s management changes: Sean Hunkler joins operations and CTO quits
Fastwel become a member of the Wind River Partner Alliance Program
Fairchild's GMRC facility at Shanghai offers advanced product testing
Murata collaborates with imec on reconfigurable radio research
STS has selected the ASLx as its linear and power management platform
EyeSight uses CEVA DSP platform for vision based user interface for mobile devices
OTN transport and switching market estimated to grow at a 17% CAGR from 2011-16
Quantance ships samples of its power supply IC for LTE OEMs
GPS RF SoC made using
65nm GLOBALFOUNDRIES' process launched by u-blox
June 2012
Sudhir Tangri is the new country manager for the EMG of Agilent Technologies India
LDRA extends interface between the LDRA tool suite and IAR Embedded Workbench
Maxim offers RF ICs for next-gen cellular n/w “HetNet”
Infineon security chip for Malaysia’s new national ID card “MyKad”
DRAM pricing is strangely stable due to Elpida bankruptcy: IHS
Samsung Thales to use Cambridge Pixel’s tech for naval combat management system
Test gear maker Rohde & Schwarz acquires SwissQual
Mobile operators to expand public access small cells, SK Telecom leads: Informa
Tata Elxsi picks Ixia to verify LTE small cell software suite
IR licenses Power-One's Digital Power Technology patents
Javelin selects Kilopass’ XPM memory IP Core for MIPI RFFE digital interface
Wi-Fi Alliance’s Passpoint offers seamless access to Wi-Fi mobile broadband
ST’s automotive group selects Breker’s TrekSoC software to automate C test cases
Researchers create slimmer thin-film solar cells with Nanoscale-sandwich technique
Joint development of OLED panels for TVs/large-sized displays from Sony and Panasonic
Price of polysilicon for solar decline in May 2012, fall is expected in June too: IHS
Intersil is betting high on pico projector
Ittiam to make major investment in automotive infotainment sector
Michael Lercel named as SEMATECH’s sen. director of metrology and Nanodefectivity
JEDEC publishes updated Universal Flash Storage standards JESD220A and JESD223A
Plastics Electronics Conference (PE2012) co-located with SEMICON Europa
Consumer medical devices to prefer Bluetooth Smart as wireless tech by 2016: IHS
Marvell’s wireless chips power Samsung’s GALAXY Xcover and i8250 Smartphones
Ronald Black replaces Harold Hughes as Rambus’ President and CEO
SEMATECH experts to talk on III-V semiconductor and 3D ICs at SEMICON West 2012
GainSpan’s Wi-Fi connectivity capabilities showcased at FTF 2012
Silex and Freescale partnership offers Wi-Fi for next-generation i.MX 6 processors
Ada and GNAT from AdaCore adopted by SmartSide for smart devices platform
Rudolph acquires NanoPhotonics to enhance position in advanced packaging market
IBM marketing survey: Mobile marketing is hot but CIOs and CMOs lack alignment
ANSYS working with GM, NREL and ESim to improve vehicle batteries
MathEmbedded announces a support partnership agreement with ST
Applied Materials survey on solar finds lack of consumer awareness
Simple camera like x-ray imaging is possible using CMOS process tech
STATS ChipPAC ships one billion copper wirebond units
Researchers attempt to reduce the size of photon for making nanophotonic devices
China's RFIC maker Nationz licenses MIPS processor core
Ramtron finds Cypress offer is under value
Sharp and frog-design collaborate in developing new UI for smart phones
Notebook PC manufacturing is becoming less profitable
Anritsu to display the mm-wave emission testing using its signal analyzer at IMS 2012
Intersil expands in India to address the growing business
Fibre Channel switch market to strike $2.7B by 2016, Brocade leads: Infonetics
Data center equipment fell to $2.2 billion in 1Q12, down by 6% from 4Q11: Infonetics
Decline
in global LCD TV shipments; Samsung ranked No.1
Solar wafer and cell prices remain on minor uptrend, reports TrendForce
ASP of the 40W equivalent LED light bulb kept around USD$20.8, as per survey
45nm to 28nm to 22nm to 14nm: A steep climb for the semiconductor industry
India is home to heavy-duty VLSI design
Small Cell World Summit 2012: Octasic and Deltenna to showcase the Small cell
Agilent and Thales applies X-parameters tech to wideband super-heterodyne Rx
TriQuint announces winners of its 2011 Sales award program
Smart card chip platform TESIC from Tiempo silicon proven on TSMC 130nm LP
TSMC and ADI collaborate on new analog process to make precision Ics
Dolphin Integration selects LFoundry for defense and industrial markets
RISC processor arch pioneer John L. Hennessy to receive IEEE medal of honour
Hua Hong NEC and Advantest to develop multi-site parallel-test for RFID wafers
Airfast transistors from Freescale for both small and big base stations
Apple and Samsung together capture 55% of smartphone market: ABI Research
Nordic’s nRF8001 chip powers Bluetooth bike speed and cadence monitor
Kazuyoshi Yamada replaces Hitoshi Yoshizawa as Cypress’ VP of Japan sales
24th annual PCB TLA program announced by Mentor Graphics
Analog Devices to display its new RF-ICs at IMS 2012
Microcontroller market in China to reach $3.53 billion in 2012, as per IHS iSuppli
Intel acquires 1700 wireless-comm patents from InterDigital paying $375 Million
Imec and Panasonic extend strategic research collaboration
Infineon continues its lead in 2011 industrial semiconductor ranking: Semicast
U.S. ITC investigating RFMD, Motorola Mobility and HTC based on Peregrine’s complaint
10GbE switch chip market grew 86.5%: Linley
Octasic’s high-density VoIP solutions selected by Digium for new line of media gateways
Agreement between Sanmina-SCI and Adacel for embedded speech recognition system
Two processor architectures AMD and ARM combine to expand security ecosystem
IBM accredits Mentor Graphics Capital Suite in its Rational program
Wind River to display VxWorks running on Freescale T4240 at FTF 2012
Anritsu to showcase broadband VNA system at IMS 2012
Mindspeed to display picocell tech at the 2012 Mobile Asia Expo in Shanghai, China
Smart TV becoming more popular worldwide: NPD DisplaySearch Q1’12 report
IME and Rolls-Royce collaborate in power electronics R&D
Geometric processor specialist GEO Semiconductor gets $3 Million financing
Free samples of the EFM32 Gecko series microcontrollers from Energy Micro
Renesas licenses ARM's Big. LITTLE
ESCATEC installs $130,000 laser marker to permanently mark PCBs
AMD, ARM and others partner to develop chips with heterogeneous processor cores
imec demo higher-k dielectric with replacement metal gate transistors at VLSI Sympo
NXP has shipped 650
million silicon tuners to flat panel TV market
Toshiba semiconductor biz comes back with double digit revenue growth
Electronic manufacturing technology trends in China in 2012, as per IPC survey
Missed DAC! VLSI designers can read Aldec's presentation through its webinar
AMD focuses on embedded by forming new group and appoints Arun Iyengar as GM
AMX ships the Enova
DVX models ahead of schedule due to high demand
IC Insights: Sensor
sales growing at 18% in 2012 to $5.7 billion
Carbon Design Systems tie up with Imagination Technologies for virtual models
VP of Micrel’s high bandwidth division to retire: Company announces leadership changes
Application note from AWR on circuit envelope simulation for 3G and 4G amplifier design
Active antennas with multiple tilt support mixed LTE and GSM networks: Ubidyne’s white paper
Steve Bakos joins Exar Corporation as SVP Sales and Marketing
22.68% efficient Heterojunction Solar Cells developed by Imec and Kaneka
Cadence announces series of seminars in Asia Pacific on mixed-signal design
VLSI research rewarded Applied Materials as the top PV solar equipment supplier
IMS: CPU and GPU in a single chip contribute major share of $111B 2011 processor revenue
MIFARE tech integrated in G&D's SIM Cards
IHS: Higher ASP helps HDD makers to earn more revenue
Alliance Memory signs distribution agreement with Transfer Multisort Elektronik
Package-on-Package (PoP) from ESCATEC enables soldering of two BGA packages on each other
Lisa Su elected to Analog’s board of directors
Virtual and data center security appliances to reach $4.4 billion by 2016, forecasts Infonetics
Touch screen ultrabook penetration rate of 24.8% by 2015, predicts TrendForce
TI to showcase its semiconductor innovations at the 2012 VLSI Symposium
NGSIS selects RapidIO as a standard for future space-based electronics
MIT Semiconductor Research: Self-assembling structures align wires, bends and junctions
Globalfoundries to make 28nm and 20nm mobile device chips for ST using FD-SOI tech
CSR acquires MAP-X audio product line from Trident
Cybercom to Supply Bluetooth framework to QNX CAR 2 Application Platform
Oxide semiconductor tech from Sharp to improve LCD panel performance
19nm node flash memory chips and cards available from Toshiba and Sandisk
Broadcom's 5G Wi-Fi SoCs for designing Gb speed wireless routers and NAS
SEMI: Semiconductor fab equipment revenue to grow at 2% in 2012 and 17% in 2013
Invisible airbag helmets enabled by ST's MEMS and MCU chips
IHS estimates 210 million cellphones in gray market in 2012
EPC introduce eighth brick DC-DC converter demo using eGaN FETs
EVE says Mentor Graphics' patent infringement suits are unfounded
Hitachi uses Mentor Graphics Olympus-SoC to tape out 40nm, 90 million gate chip
Murata’s MEMS sensors used in automatic stop-start applications to save fuel
QNX Software exhibits a new reference vehicle integrating Facebook
LTE/4G n/ws to cover half the world population by 2017: Ericsson
Corning supports LCD panel manufacturing by extending line of EAGLE XG Slim glass
Sierra’s wireless modules used in Audi’s in-vehicle LTE infotainment system
2012 Strategic Materials Conference is organized by SEMI on advanced electronics materials
Live webcast of AMD’s keynote presentations at 2012 AMD Fusion Developer Summit
Jeong-Tyng Li from Springsoft is the new member of Si2 board of directors
EDA Consortium elects board members and officers to serve through spring 2014
‘IGNITE 2012’ a design contest launched by Tata Elxsi for Indian students
Revenue for mobile memory to reach $14.9 billion in 2012
DECT IC to deliver quality audio wirelessly on licence-free radio bands
IP core for interfacing 3D integrated semiconductor memory devices
Agilent uses Cosmic's M-PHY in its MIPI test sys
South Korea dominates while Chinese suppliers glow in large-sized LCD panel market in Q1, reports IHS
SIPI: LCD-panel to LCD-panel interface from Parade
X-TI Gregg Lowe replaces Rich Beyer as Freescale’s president and CEO
Somshubhro Pal Choudhury takes over as Analog Devices’ MD for India Operations
IHS: Semiconductor inventories increased in Q1 to indicate possible demand recovery
Scott Bibaud joins Altera as senior VP and GM for communications and broadcast div.
No. 1 automotive MEMS supplier is Bosch, lead position retained: reports IHS
RedHawk and Totem from Ansys achieved TSMC Phase I 20-nm certification
RF
IC design solution from Integrand for GLOBALFOUNDRIES 40nm-LP
technology
The
two streams of smart phone vendors competing each other
DeitY
selects Accenture as consultant to evaluate chip fab proposal
1Q12
excerpts from Infonetics on Wireless LAN equipments and Ethernet
switch market
Wi-Fi CERTIFIED Miracast supported by Cavium’s wireless display products
IEC manufacturing test capability extended by Corelis JTAG tools
Mass production of Lithium-ion battery system from Panasonic for home energy storage
28nm silicon-validated AMS production design flow demo at DAC by GLOBALFOUNDRIES
End of “Go-Shop” period in PLX Technology acquisition announced by IDT
ST delivers MEMS microphone in plastic package for consumer e-devices
Agilent's RFIC simulators qualified by GLOBALFOUNDRIES for its 65nm RF CMOS processes
Xilinx ships 3D FPGA for Nx100G and 400G line card solutions
CEVA partners with VWorks to provide virtual prototyping platforms
Counterfeit
semiconductor parts and China sourcing; a big problem for
Infineon India
Falling
Rupee hurting Indian electronic industry and is comparable
to a Tsunami hit
EnSilica’s
32-bit processor powering Xtendwave’s time code receiver
US antidumping taxes could defer 45% of solar module shipments to North America, says IHS
Atmel MCU certified for ZigBee Light Link Golden Units for lighting reference
81% of tablet display panel market captured by LG and Samsung, says IHS
OpenCL 1.1 conformance test passed by Vivante GC Cores
Dell "Copper" ARM server powered by Marvell’s SoC
2500 Watt power supplies from TDK-Lambda achieves 80 PLUS Platinum efficiency
Murata’s Bluetooth SMART module mass production to start from June
Large flat panel sets replacing older CRT TVs – annual study by NPD DisplaySearch
Wi-Fi CERTIFIED Miracast certification for display products to be published in Aug 2012
20-nm test chip taped out by STMicro using Cadence tools
FloEFD v11.3 CFD technology for PTC’s Creo released by Mentor Graphics
LTE equipment to touch $17.5 B in 2016 and mobile infra declines in 1Q12, reports Infonetics
6-9% dip in mainstream NAND Flash contract price in 2HMay, reports TrendForce
TSMC 20nm Phase I certification for Synopsys’ DRMs and SPICE models
ZigBee Light Link standard on SoC demonstration by TI at Computex Taipei
Wireless
connectivity in smart home tech: ZigBee vs Wi-Fi
Galaxy
S III: its not just touch and type, lot more human interface
May 2012
DFI
3.1 specification released; Supports LPDDR3 memory for smartphones
and tablets
Label manufacturer Nortec AMI partners with AdoptSMT in Europe
MEMS Industry Group’s M2M Forum and key highlights
ZeBu-Blade 2 product included in the patent infringement suit against EVE by Mentor Graphics
Zero-Defect Quality Award to Infineon from Toyota Hirose Plant
Automotive semiconductor growth influenced by GPUs, says IMS
Global Industrial automation market to cross $200 billion in 2015, predicts IMS
Samsung selects Corning’s Gorilla Glass 2 for GALAXY S III smartphones
Murata’s electric double layer capacitors achieve service life of 5 years at 70 Deg C
Broadcom joins HP ProActive Insight Architecture (PIA) Alliance
Solar
PV cell from Sharp with world record conversion efficiency
of 43.5%
Evatronix
to display its new multimedia IP at DAC
Forte Design Systems to display its High-Level Synthesis at DAC
Thermal media business established by Ricoh in India
Orders placed for 14 NSX Inspection Systems, says Rudolph Technologies
Iridium based global PTT radio system tested successfully by Cambridge Consultants
Erik Wood appointed as Ramtron’s director of business development for F-RAM-based solutions
DFI 3.1 supported by Cadence IP, includes LPDDR3 mobile memory
20
nm fill solutions from Mentor Graphics and GLOBALFOUNDRIES
collaboration
ASTC and Tanner EDA partners to deliver ASIC design solutions globally
AMD’s A-Series APU awarded 2012 Best Choice of COMPUTEX TAIPEI award
The
market and technology trends indicated by Renesas going fablite
TI
MCU design contest winners
ECP
technology from Mentor and AT&S optimizes PCB design-through-manufacturing
flow
Accellera Systems receives Synopsys’ 2012 Tenzing Norgay Interoperability award
ISMI award to Renesas’ former director for recovering fab site from nature’s disaster
ST launches 2012 iNEMO design contest in Taiwan and China
Bharti to acquire 49% interest in Qualcomm AP’s Indian BWA entities
Wireless power transmitter from IDT is WPC Qi certified; suitable for charging stations
Cypress developer community feature 12 blogs and 140 videos
Sharp and Sony terminate their JV on large-sized LCD panels and modules
Two
billionth sensor devices shipped by Infineon
Researchers
create contacts on semiconductor chip by self-assembly process
Ink jet printing technique by Ricoh to make electronic components out of PZT
Elpida overtakes Micron in Q12012 DRAM market ranking
Revenue of power mgmt semiconductor devices to reach $8.0 B in the 2Q, up 6.7%
Bond via array technology for 3D semiconductor packaging by Invensas
In-ear-monitor can switch the i/p audio source as per user control
Linley: 10 Billion chips use licensed processor IP cores in 2011
Library Creator Platform for SoC physical layout design at nodes of 20/22nm
3D
Semiconductor and silicon-photonics research jointly by CEA-Leti
ST and Mentor
Pepperl+Fuchs
employ IAR Systems’ development tools for future product development
TI’s ULP Advisor code analysis software tool integrated with IAR Embedded Workbench
Mindspeed’ Transcede SoC powered Small cell reference design supports Trillium software
Si2 supported design flow interoperability demo by 7 EDA companies at DAC
Performance Analysis Kit from Carbon Design Systems and Cadence for IP optimisation
Dipti Vachani named as TI’s VP of Singlecore processors product line
SEMI: Book-to-Bill Ratio of 1.10 posted in April 2012 by N.A. semicon equipment industry
Intersil partners with Micross Components for precision analog IC offerings
Intel Data Plane Development Kit supported by Wind River for packet processing
Berkeley’s analog FastSPICE platform selected by Etron for memory verification
Xilinx’s 7 series evaluation kits uses SiTime’s programmable MEMS oscillators
CEVA joined Wi-Fi Alliance to support software-based Wi-Fi strategy
Smart sensors from Libelium can detect iPhone and Android devices in real time
Automotive MOSFETs from NXP completes extended lifetime testing for high-rel
Cadence DDR3/3L/LPDDR2 interface IP solution used in Nufront’s chip
Japan-US collaborative smart grid demo project launched by nine Japanese companies
TD-SCDMA/TD-LTE femtocell solutions by Mindspeed and China Mobile collaboration
Arduino development boards from Atmel uses AVR and ARM processor based MCUs
ONFi IP/VIP solution from Posedge and PerfectVIPs partnership
Emerson claims it has largest installed base of ATCA blades and systems
ABI:
70% of set-top box units in India are imported, mostly from
China
ST
Micro' Vinay answers to Qs related to replacing 8/16 bit MCU
with 32-bit
IHS
forecasts Apple iOS to dominate tablet market in 2012
Elpida story and balanced sup/demand to enable 2012 DRAM market, as per IHS
Brian Glinsman named as TI’s VP of multicore processors division
VDC rates Wind River as RTOS and embedded Linux leader
Semiconductor tech: Samsung researchers develop Graphene-Silicon Schottky switch
IHS alerts about alarming growth of counterfeit parts
Global WDM and SONET/SDH optical n/w equipment market down by 23% in 1Q12
Kyocera phone uses LCD display as speaker
Sharp tuning makes wirelss charging efficient
Semiconductor metamaterial AZO can be metal at one voltage and dielectric at other
CEO
of Infineon Technologies to resign effective September 30,
2012
Pepperl+Fuchs
expands Indian ops with additional manufacturing plant
COMSOL
Conference India 2012 invites papers in modeling and simulation
AMD
awards its 2012 suppliers of material and service
Avago and TriQuint settle all claims
2012 IHS European TMT summit to discuss content biz opportunities for new consumer devices
Worldwide supply chain management software market touched $7.7 Billion in 2011, says Gartner
Toshiba to set up a smart community business center at Kawasaki, Japan
LCD backlights to continue dominating LED demand, as per NPD DisplaySearch report
Solar power components jointly developed by eSolar and Sanmina-SCI
SMAP spacecraft powered by EMCORE solar panels for 2014 NASA mission
HiSilicon extends technology partnership with Vivante on graphics IP
Preet Virk rejoins Mindspeed: assigned the role of senior VP and GM of the CCP business unit
Single-chip ethylene sensor to monitor fruit ripening, reports Imec and Holst Centre
Quarterly large-area TFT LCD shipment report by NPD DisplaySearch
X-FAB Silicon Foundries joins Interoperable PDK Libraries Alliance
Samsung hold a huge 70% share in Mobile DRAM market as per TrendForce
Common implementation of NVM IP cores by Kilopass for the 130/110nm nodes
Solar PV shade conditions simulated by NREL in repeatable test for arrays
DSP IP market share is 90% covered by CEVA, reveals Linley Group
Top
20-semiconductor vendor ranking update in 1q2012: revenues
down by 4%
AlN
semiconductor LEDs can generate UV rays to kill microbes in
water
Majority
of engineers use VHDL, though SystemVerilog use grows, as
per a survey
GaN
on Silicon LED chip emitting 614mW in a space of 1.1mm square
Qualcomm
grants licenses to Brazilian firm to make 3G and 4G devices
ESD
and I/O tech from Sofics and ICsense used in NVIDIA's modem
processor ICs
Samsung
adopts Dialog's power supply ICs for its 2nd smart phone platform
Marvell
collaborate with LED lamp makers in providing reference design
Mobile
tech adoption is difficult if consumer trust not addressed,
finds Juniper's survey
Jin
Bains is VP, RF research and development at NI
IMS
releases PV System Integrator rankings for 2011: BELECTRIC
is number one
AMD's
G-Series platform supports Windows Embedded Compact 7 RTOS
Processor IP biz: 10 Billion cores shipped with 25% growth in 2011, according to Linley
Majority engineers use VHDL, though SytemVerilog use grows, as per a survey
GaN on Silicon LED chip emitting 614mW in a space of 1.1mm square
Qualcomm grants licenses to Brazilian firm to make 3G and 4G devices
ESD and I/O tech from Sofics and ICsense used in NVIDIA's modem processor Ics
Samsung adopts Dialog's power supply ICs for its 2nd smart phone platform
Marvell collaborate with LED lamp makers in providing reference design
Mobile tech adoption is difficult if consumer trust not addressed, finds Juniper's survey
Jin Bains named the VP, RF research and development at National Instruments
IMS releases PV System Integrator rankings for 2011: BELECTRIC is number one
AMD's G-Series platform supports Windows Embedded Compact 7 RTOS
New
certification programs for advanced enterprise applications
from Wi-Fi Alliance
Gloden
Dragon Plus LEDs from Osram chosen for Olympic stadium in
Kiev
Solutions
from Marvell to support all three clouds - private, public
and home
Portable
quad port Ethernet/VLAN/MPLS/IP/UDP tester from GL
Aeroflex
to supply test systems to Qualcomm for automated testing of
mobile devices
DEKRA
is now the testing institute for 'green products' and EPEAT
criteria
Cavium
and PACE to demonstrate processor solutions for cloud and
enterprise apps
Verific's
parser platform adopted by Excellicon for timing constraints
solutions
Talk
on digital analog design presented by Stanford's Mark Horowitz
during DAC
TI
used EVE's ZeBu-Server technology for its OMAP 5 platform
RSoft
Design Group acquired by Synopsys
Silicon
wafer shipments of 1st quarter 2012 has slightly increased,
says SEMI
Telit's
cellular module connects with CardioNet's MCOT to monitor
patient's heart
TrendForce:
Micron offers JPY$300 billion to Elpida, three major DRAM
players emerge
NXP's
wireless smart lighting solutions to be showcased at LIGHTFAIR
2012
Niels
Anderskouv is the new senior VP and GM of TI's high volume
analog and logic
Top
5 Indian IT services providers grew 23.8% in 2011, reports
Gartner
NXP
has 74% share of contactless ticket market, reports ABI Research
ID
card technology: Mobile phone, NFC and the design
$12.3M
GaN DARPA contract to Triquint to develop GaN semiconductor
devices
Fairchild
filed new patent infringement claims against PI's LinkSwitch-PH
devices
IDT
acquires FOX and also to acquire PLX tech
Toshiba
lauds NAND Flash memory achievement
RealVNC
remote control tech on ST's automotive infotainment app processors
Infonetics
predicts optical transceiver market to hit $3.3 billion in
2016
Webcast
on "Isolation challenges in power conversion application"
by ADI and Arrow
Vishay
Asia has won 2011 Supplier awards presented by TTI Asia
Easy
selector guide released from Monolithic Power Systems for
better parts selection
Talent
competition in China to develop apps on the BlackBerry platform,
by RIM and QNX
Forte
Design Systems joins Cadence Connections program
Progress
report on the EU FP7 ESTRELIA project from Austriamicrosystems
Vigven
Tech Mark is the new India representative of Pickering Interfaces
'Base
station-on-a-chip' IC from Cavium is shipped to telecom equipment
manufacturers
Advanced
power semiconductor packaging tech display by IR at PCIM 2012
event
Samsung
and Synopsys collaborate to implement ARM Cortex-A15 MPCore
processor
Four
year supply agreement signed by CTS for HDD piezoceramic micro
actuators
Infonetics
forecasts cloud and CPE managed security services to hit $18
billion by 2016
Total
assembly solution to CE customers by 3M and Nordson
HealthGO
from eDevice for remote patient monitoring driven by Freescale
tech
Dolby
sound in KT-81 Karbonn mobiles for Indian consumers
April 2012
Simulink
code generation targets built into Freescale's motor control
development toolbox
Industry's
1st SoC GDSII from C-models of algorithms claimed by Algotochip
EnOcean
and ZigBee wireless technologies integrated by CAN2GO controllers
3D
chip stacking at 20nm enabled by GLOBALFOUNDRIES Fab 8
Semiconductor
design solutions expanded by Open-Silicon
Global
semiconductor market forecast 2012: Gartner; 4%, iSuppli;
4.3%, IDC; 6-7%
Wireless
control of lighting solutions through ZigBee Light Link standard
Wireless
control of lighting solutions through ZigBee Light Link standard
Student
competition for ideas on industrial process automation by
Honeywell
Researcher
at NCSU attempt to boost the data capability of multi-hop
networks
OpenCL
SDK from Intel now supports its Core processor chips
Intel
to acquire Cray's high-performance computing interconnect
assets
TowerJazz
transfers CMOS image sensor from its Israel facility to its
US fab
IBM
partners with Asahi Kasei and Central Glass on new battery
tech
9000
software applications certified on SUSE Linux Enterprise Server
Wi-Fi
design code by GainSpan for TI MSP430
Advanced
memory and logic tech and 3D TSV by SEMATECH at VLSI Sympo
Maxim's
G3-PLC recommended as smart-meter communication protocol in
Japan
Evatronix
partners with ICDREC for IP cores and software stacks
Tiempo
partners with Alcinéo to develop smartcard applications
Austriamicrosystems
donates technology and sponsors Freescale cup competition
Polysilicon
price may reach US$ 20/kg in 2012, predicts TrendForce
Quad-core
Exynos 4 SoC chip with double the power for Samsung Galaxy
S III
Semiconductor
market to grow by 4.3% in 2012, as per updated IHS forecast
Infonetics
predicts deep packet inspection (DPI) market of $2 billion
by 2016
Aeroflex
to provide testing solutions for mobile devices of Qualcomm
Posedge
opens two new design centers in India and Taiwan
Heart
monitor in a wristwatch developed by Plessey using its EPIC
sensor technology
ST-Ericsson
transfers application processor development to ST Micro
MEMS
displays to grow 62% by 2016, says Semico Research
Rambus
awarded "Best paper award" at 2012 DesignCon
Forum
on DSP using FPGA by Altera, Arrow and MathWorks
The
5th EDA software with analytical place and route for VLSI
design, but for FPGA
Winners
of ST's OpenWorld design contest announced
SEMI:
$1.48 B orders placed in March by N.American semiconductor
equipment
Alvarion
selected by HotSpot International for Wi-Fi solutions
Microsemi
ships 10,000th radiation-tolerant RTAX-S/SL FPGA
Nichia
sued by Everlight in US for patent infringement
New
IEEE standard designed to assist smart-grid communications
and distribution automation
Toshiba
to rebuild chip plant in Thailand at safer place
TI
reports 9% sequential-fall in sales revenue for the 1st Quarter
2012
ST
Micro's 1Q2012: sales revenue of Auto, Anaog, MEMS, MCU up
and rest down
G.R.A.S.
sells microphone kits for use with NI DSA hardware
Fujitsu
Semiconductor wireless appoints Satoru Yamaguchi as the President
and CEO
Formal
process launched by Freescale to identify Rich Beyer's successor
Microchip
acquired Roving Networks to expand wireless solutions
The
proposed India semiconductor fab partners
ZTE
expecting superfast growth in smart phone shipment
Tensilica's
300 Million audio/video DSP cores shipped through its customer's
products
Malaysia
and Philippines showing good signs of semiconductor industry
growth
Tensilica
to hire VLSI design engineers at its expanded office in Pune
SEMI's
conference to discuss semiconductor manufacturing issues and
concepts
Infonetics:
60% up in the enterprise session border controller market
DA9052
IC from Dialog Semiconductor inside Epson's see-through mobile
viewer
Over
1 billion hard disk drives sold with Marvell chips inside
by Western Digital
India
Electronics back on track
Nanotube
electrodes to make dye sensitized solar PV cells cheaper
ST's
MEMS revenues have grown more than 80% through 2011
Renesas
Electronics adopts Synopsys' Proteus LRC for lithography verification
Alchip
licenses Panasonic's power line communication to make PLC
ICs
Intel
leverages Lava to enter global smart phone market via India
Mobile
access solution for NFC phones from NXP and HID Global
Bradley
Howe named the senior VP of research and development at Altera
Matlab
and Simulink campus-wide access at Manipal Institute of Technology
Indoor
cycling bike introduced by Body Bike with ANT+ interoperability
CSR
opens automotive development centre in Ingolstadt, Germany
Xilinx's
28nm FPGAs supported by Aldec solutions at X-Fest 2012
Intel
Atom processor powered Lava smart phone XOLO X900 priced Rs
22,000
Micrel
receive 98% performance rating from its MEMS customer
FPGA
based video analytics solution from Altera and Eutecus for
video surveillance systems
Body-worn
wireless medical sys from Isansys Lifecare achieves CE mark
and is cloud-ready
Free
access to Atrenta IP kit for two weeks on trial basis
Advantech
partners with TI on HD video processing applications
JEDEC
forms JC-64 subcommittee to standardize non-volatile wireless
memory
Samsung
leads in AMOLED manufacturing
Alliance
Memory appoints Jan Ornjager as the regional manager, Nordic
Murata
to acquire RF Monolithics
IHS
iSuppli: Desktops set to adopt 2.5-Inch Hard Disc Drives (HDD)
India
Semiconductor Association elects Dr. Satya as new chairman
for 2012-13
Semiconductor
2011 ranking: Intel and Samsung atop, rest all out-of-range
Top
25 fabless semiconductor ranking 2011: Spreadtrum is the star
Sony
to transform its mobile, gaming and TV biz
Honda's
Fit EV battery electric car tested for smart charging by IBM
Hybrid
bus powered by ultracapacitors and diesel engine
Tear
down of Windows smart-phone Nokia's Lumia 900: $209 worth
of ICs and components
TSMC
starts phase-5 expansion of its semiconductor Fab 14
Imec
to present resistive RAM papers at 2012 VLSI Technology Symposium
SMIC
40nm Reference Flow uses Cadence' Encounter
Hardware
engine to stream movies from SSD to tablet and phones
DDR3
memory related paper from Rambus wins DesignCon-2012 award
RNCOS
predicts 6% growth in global semiconductor market
NXP
drives MIFARE for broader range of applications
Multi-core
processor on-chip communication goes serial and packet based
India
semiconductor market 2012: revenue to grow by 20%, as per
Gartner
IHS:
Counterfeit part incidents tripled in last two years
Gartner:
The number of PC purchases in India in 2012 is estimated at
12.5 Million
Honeywell
awards its channel partners in India
Kilopass
NVM IP employed in SoC IC from SICMICRO
LG's
Optimus smartphones use RFMD devices
Modelithics
uses Anritsu's VectorStar VNA for testing schottky diodes
Fern
Howard to sell LED lighting products based on Rambus tech
Fairchild
Semiconductor licenses Infineon's automotive MOSFET packaging
tech
NXP
Semiconductors gains IP strength by acquiring Catena Group
Researchers
try out auto-coding concept in software engineering
UMC
certified Synopsys' StarRC extraction solution for 28-nm designs
Rs.6499
tablet by Micromax is going to be a hit
Latest
in <22nm semiconductor tech at SEMICON West event
The
top ten semiconductor foundries in 2011: TSMC maintains lead
ADI
acquires clock expert Mutligig
Digi
and Wind River collaborate on cloud-connected wireless M2M
Intersil,
Sony and Altronix joining hands to develop hybrid IP video
surveillance
IHS:
Revenue for digital MEMS microphones to reach $315 Million
in 2013
ST
awards projects designed for medical app and visually challenged
persons
Renesas
to transfer its factory to Fuji Electric
Indian
R&D outsourcers HCL and Wipro are design support members
of Altera
Mobile
processor chip market forecasted to reach 1.9B units by 2016
Touch
controller IC market: Unit shipment estimated to grow by 28%
in 2012
X-Renesas
Jim Trent to head NXP's MCU biz
NXP
and HID Global are collaborating on NFC phones
Synopsys
along with IMTAB members develop models for double pattern
analysis
Dialog
semiconductor collaborate with TSMC to develop BCD process
tech
Mar 2012
James
Cameron reaches deepest sea point powered by fluid compensated
electronics
Gartner
forecasts 11.6 % decline in chip fab equipment spending in
2012
Shipments
of 3D LCD TV panels spiked to 7.8M in Q4'11, up 26% Q/Q
WHDI,
WirelessHD, and WiGig are rated as the three top tech for
CE interface
Ittiam
Systems: electronics design IP company with $20M+ revenue
and 30 granted patents
WLAN
equipment market reached $893 million in 4Q11, up 1% q-o-q
Murata
completes purchase of Renesas's high-power amp biz
Enterprise
router sales rose 1% over 3Q11, to $920 million in 4Q11
TrendForce
presents possible DRAM market impact due to Elpida's situation
Social
networks and mobile devices are more prone to attacks
Ericsson
increases ownership in LG-Ericsson
CHANGHONG
selected Entropic's c.LINK IC for its EoC access equipment
2.5M
RapidIO switch shipment record from IDT
TSMC
and Altera collaborate in 3D chip tech
Broadcom
targets fiber communication market by acquiring BroadLight
Non-IC
semiconductor revenues in 2011 is $57.4B, as per estimates
IHS:
Semiconductor inventories set to decline slightly in Q1
RFMD
shipped >1B power amps to mobile phone manufacturers in
China zone
Xilinx
strengthens its R and D base in India
Large-sized
panel shipments in Feb-12 increased by 14.4% m-o-m
NXP
Semiconductors announces CFO change
Mentor
Graphics helps GlobalFoundries in improving design yield
FPGA
and optical transceivers in single package for higher data-speed
iRobot
to use TI's OMAP to develop robots
Blue
Pearl Software supports Synopsys' Synplify Pro
New
TCP/IP book jointly by Micrium and TI
FPGA-RLDRAM
interface is demonstrated
Tear
down and BOM analysis of new LTE integrated iPad
Cosmic
Circuits demos its MIPI M-PHY at the MIPI Alliance event in
Seoul
Synopsys
and Applied collaborate on TCAD models for 14nm and 11nm chip
design
Slight
fall in TV shipments in 2011, as per DisplaySearch
6WINDGate
supports TI's KeyStone II multicore processors
Micrel
acquires controlling interest in PhaseLink
Personal
cloud touted as a replacement for the personal computer by
2014
Riber
and imec collaborate in making CMOS devices using compound
semiconductor
Cypress
Semiconductor India appoints Badri Kothandaraman
In-Stat:
30.7M WCDMA/HSPA residential femtocells to be shipped in 2015
Gartner
ups its semiconductor revenue estimation for 2012 from 2.2
to 4%
Flexible
PV solar panel production plant in Germany opens
MediaTek
to use Rambus patented tech
Semicon
equipment spending to steep up in 2013
Qualcomm
providing Snapdragon-powered Windows on ARM PCs for testing
Nickel
Oxide as semiconductor material withstands high voltage and
temp
Whether
Windows8 and Ultrabooks create new growth in PC market?
IBM
makes a prototype optical chip set operating at speeds of
1000000 MB/s
Dialog
integrates ARM Cortex M0 in smart power Ics
AMD
to acquire SeaMicro, a microserver expert
Advanced
insulation material can enable higher HVDC transmission
LEO
satellites maneuverable by exploiting atmospheric drag to
save propellent
By
2016 over 75% of all US electric meters are estimated to be
smart meters
AMD's
pulls its stake in GLOBALFOUNDRIES, now only a valued customer
Silcon
photonics partnership between Luxtera and STMicroelectronics
Number-1
MEMS device for consumer and mobile is gyroscope, as per IHS
Community
for open source hardware and VLSI IP cores
Magnachip
Semiconductor acquires Dawin Electronics
IC
Design: 10 vendors have qualified their soft IP for TSMC 9000
IP lib using Atrenta's IP kit
NFC
specs MIFARE4Mobile gained big momentum in 2011
HiSilicon
and imec collaborate on reconfigurable radio
Smart
consumer electronics device shipment to exceed 92M in 2016,
as per estimates
Toshiba
to acquire 3.5 Inch HDD equipment and IP from Western Digital
Elpida
filed for bankruptcy protection
Gateway
and thin client are estimated to reach 4.2M in 2012 from 0.34M
in 2011
Spreadtrum
licenses CEVA-XC DSP for its LTE baseband processor designs
Battery
trend in mobiles is fast moving from removable to internal
Feb 2012
Intersil
targets immediate-possible high-volume markets
Silicon
and software for small-cell base-station hot at MWC
3 Million
wireless HD video-enabled devices estimated to ship in 2015
ICs
can integrate on-chip voltage regulators
Lumia,
a spring board for both MS and Nokia
Global
service provider router and switch market grew 11% in the
4th quarter of 2011
ST
showcase OIS and LBS tech at MWC
MEMS
shipment from ST crosses 2 Billion
TI's
silicon and Aricent's software component integrated for small-cell
design
MWC:
Stiff battle-like competition between mobile phone OEMs
Semiconductor
technology trends in 2012 at ISSCC
Is
Germanium a potential candidate to work along with Silicon
in future computers?
PBI
embedds Aurix true phonetic speech search engine in its product
Slow growth of emerging markets affecting display panel market
FlexNoC interconnect IP in Synopsys' Platform Architect
In-Stat: Low-cost Android smartphones to approach 340M worldwide in 2015
Interfacing DNA computing with silicon computing
Broadcom acquires NetLogic
$8.5M research initiative for generating quantum memories
Cost limits the volume growth of AMOLED TVs
Silcon Image opens R&D center in Hyderabad
Ambient Devices and Ember to create IHD for the smart energy market
VadaTech selects IDT RapidIO Gen2 switch
EMS provider Sanmina-SCI's India design center gets aerospace certified
Researchers try non-planar contacts between metal and semiconductor
IEEE individual award winners in 2012
Building
nanoscale transistors by aligning atoms, a disruptive tech
for litho equipment
Driver
assist sensors in cars to see big growth in 2012, as per IHS
Automotive
open-source OpenXC from Ford launched in India
TowerJazz
with its local partner bids for 'India semiconductor chip
fab'
Tablet
screen size: not one-for-all is market opportunity
IBM
chip fab club to talk on deeper nodes such as 14nm on Mar
14
Huawei to lead in the $10.6 Billion OTN equipment market estimated by 2015
Tablet gaining popularity in office environment
Workshop on power-analysis attacks by Cryptography Research
MIT launches free online course on ‘Circuits and Electronics’
Magnesium and Antimony based low cost batteries researched by MIT
Maskless
lithography: a better alternative in semiconductor chip fab
tech
Lithium
polymer battery production to increase by 30% in 2012, as
per a study
Ericsson aims to connect 50 Billion devices by 2020 using M2M tech
Microsemi and TRINAMIC deliver dual motor control kit
KEMET to acquire tantalum manufacturer Niotan
Indian consumer electronics market to reach US$13 Billion by 2014
TriQuint to make compound semiconductor devices for startup Sarda
IPC
and JEDEC releases new standards for handling non-IC electronic
components
Is
'All is well' for India electronics and semiconductor industry
Key
announcements expected at India Semiconductor Association
Vision Summit 2012
ELCINA
and Vittal Innovation City to set-up electronics manufacturing
clusters in India
Printed
electronics gaining role in more applications
Renesas
sales revenue falls by 8.3% q-o-q in its 3Q2011
Mobile-device
overtakes computer as top consumer of semiconductor devices
Automotive
MEMS grew by 16% in 2011, as per a study
In-Stat:
Mobile SoC semiconductor IC TAM to exceed 3.1 billion devices
in 2015
NTU
Singapore buys nanotech equipment from AIXTRON
U.S.,
S.Korea, and Japan have 85.3% of semiconductor IC revenue
share, as per a study
In-Stat
estimates Wi-Fi chipset revenue to reach $6.1B in 2015
IHS
iSuppli forecasts a slow 3.3% growth of semiconductor revenue
in 2012
Triad
selects Berkeley's Analog FastSPICE
Jan 2012
Lightweight
cryptography technique CLEFIA from Sony gets ISO/IEC stamp
Intel
to acquire media streaming patents from RealNetworks
IHS
iSuppli: Electronic contract manufacturing revenue down by
1% to $357B in 2012
Wi-Fi
Alliance disowns the term "Super Wi-Fi"
Inphi's
iMB based LRDIMMs are validated for AMD Opteron 6200 processors
Mentor
hires its x-employee to head its Indian FPGA design tool business
Moser
Baer enhances its solar semiconductor tech for scaling up
effeciency to 21%
Altera
uses Mentor Graphics Veloce for verifications of its FPGA
designs
Electronic
device buit-in into a shirt is possibe due to elastic conductors
Video
of Nordic's iOS demo app on wireless accessories n/w with
iPhone 4S
Interoperability
event in Feb for 3D-capable cable set top boxes
North
America semicon equipment makers posted $1.16 billion in orders
in Dec 2011
100
million hybrid STBs to ship in 2015
AMOLED
TV shipments to reach 2.1M units in 2015, up from just 34K
in 2012
MEMS
microphone revenue in 2012 is projected to reach $493.5 million
A
city in US goes for large-scale LED street lamp deployment
Fujitsu
Semiconductor uses latest DFM VLSI software from Mentor
Semtech
to acquire Canada based Gennum
IEEE
offering English version of Chinese tech publications
Gartner:
Indian enterprise market is quite distinct from other markets
Samsung
is world's 2nd producer and consumer of semiconductor devices
Ericsson
and ZTE agreed to drop patent related litigations
Femtocell
market 2012: Revenues to double
The
difficult semiconductor packages in board assembly are BGAs,
QFN, and LGA
Can
windows 8 phone beat Android
Smart
home tech; a big opportunity for semiconductor industry
Samsung
to begin production of a 46-inch transparent LCD panel in
this month
CdTe photovoltaic PV solar module efficiency of 14.4 % by First Solar
Everspin records 300 percent growth of its MRAM shipments in 2011
Samsung starts volume production of stacked memory chips for smartphones
Microcontroller market study: Sales revenue reached around $16B in 2011
Mobile semiconductor market shake up by M&A, says ABI
As the
content evaporates from personal systems, cloud-server market
set to double
Cypress
Semiconductor releases Alcatel- Lucent in a patent infringment
case
In-Stat:
Semiconductor revenue of $3.5B from video surveillance equipment
in 2015
Holst
Centre and imec to co-research on flexible OLED displays
NFC
semiconductor chip market driven by smart-mobile
Electronics
design software revenue grew 7.4% in Q311 sequentially
FPGA
gaining space in consumer electronics
GEO
Semiconductor has entered into a strategic partnership with
AppBanc
Graphene
growing nanotech equipment from Aixtron installed at INESC
MN
Wi-Fi
is predicted to grow 109 percent between 2011-2016 in automotive
IBM's next path in nanotech: Atom level
Tech
from NXP and Belkin to control home lighting using smartphone
MEMS
based gesture recognition platfrom jointly by UEI and Movea
IBM and
GLOBALFOUNDRIES's partnership chip factory in U.S. starts
32nm production
TI partner
with metaio and Total Immersion in offering SDK for augmented
reality apps
Qualcomm
Atheros and Broadcom validate HomePlug AV
Intevac
sells its semiconductor mainframe tech to Brooks Automation
SuperSpeed
USB shipments to surpass 1B in 2014, up from 70M in 2011
Semiconductor
fab spending to down in 1st half and to up in 2nd half 2012
Cavium
displaying media-gadgets designed using its silicon at CES2012
Market
for multimode, multiband RF power amps to exceed $150 million
in 2012
MIPS
to demo the gaming and content sharing tech at CES 2012
OLED
display revenues are estimated to exceed $4 billion in 2011
Top ten
technology trends related to media tablet, as per IHS iSuppli
CES 2012
is more of convergence tech than a consumer
Less
semiconductor devices in stock due to flat market
Comparison
of India's science research with China, US, UK and Japan
Marvell
acquires Xelerated for its wired communication processing
tech
Cavium's
chips to feature wireless display tech from Intel
100 Gbps
Ethernet over fiber n/w interoperability by Fujitsu, NEC and
Anritsu
Broadcom
to display MOCA 2.0 integrated chips at CES
Consumer
electronics semiconductor vendor Trident files for bankruptcy
EnSilica
opens VLSI design centre in Bangalore, India
Gen4
touch screen controllers from Cypress are in production
Invensas
buys 73 semiconductor tech Patents from Mosys
Industry
seeks a balanced growth of science and engineering
LCD panel
biz: Kyocera acquires Optrex and Samsung buys Sony share in
S-LCD
Cosmic
Circuits announces 2011 design contest winners
The most
read news in EE Herald in 2011
Achived
News (Jan - Dec 2011)
Achived News (July
- Dec 2010)
Achived News (Jan - June
2010)
Achived News (2009)
Achived News (2008, 2007, 2006)
|