Newletter from EE Herald for the Month of Jan 2024

Date: 15/02/2024
news icon
01/02/2024
IESA VS 2024: India's rising role in global electronics and semiconductor industry
India is home to 20% of VLSI design workforce…

news icon
03/02/2024
IISc in collaboration with Synopsys launched a semiconductor learning program
For individuals aspiring to build a career in semiconductors…

news icon
06/02/2024
Global semiconductor sales revenue drop by 8.2% in 2023, but 4th Q jumps by 8.4%
Global sales for the month of December 2023 were $48.6 billion…

news icon
08/02/2024
Worldwide silicon semiconductor wafer revenue down by 10.9% in 2023
Shipments of 12-inch polished and epi wafers contracted 13%…

news icon
08/02/2024
Counterpoint: India’s smartwatch shipments grew 50% YoY in 2023
Fire-Boltt asserted its dominance by commanding a 30%…

news icon
06/02/2024
Semiconductor centric Digital India FutureLABS launched by MeitY
Digital India futureLABS to act as a catalyst in Indian ESDM innovation…

news icon
22/01/2024
Graphene comes back: Researchers make a first functional semiconductor chip
"We now have an extremely robust graphene semiconducto…

news icon
22/01/2024
India OSAT: Foxconn partner with HCL to setup semiconductor packaging unit in India
HCL is one of the earliest company in India to enter…

news icon
08/02/2024
FutureTech: Your semiconductor chips made in Space-shuttle, Moon or Mars
Redwire developed a autonomous semiconductor manufacturing platform for space…

news icon
12/01/2024
Three semiconductor business sell-offs as 2024 begin, all headed by Indians
In the semiconductor devices area, Renesas to acquire Transphorm…

news icon
14/02/2024
Bangalore based Fermionic launches 0.5/2A Low noise LDO to power SoCs
The fast loop response and the low dropout…

news icon
14/02/2024
Knowteq Info LLP appointed as India-distributor to sell Silvaco' EDA and CAD software
The growing landscape of semiconductor design in Asia…

news icon
13/02/2024
Abracon introduces ATL-series TLVR Inductors
The TLVR topology enables multiphase Voltage Regulator…

news icon
13/02/2024
IDC forecast big growth in AI PC shipment: 60 Million in 2024 to 167 Million by 2027
Hardware-enabled AI PCs include an NPU that offers…

news icon
13/02/2024
Andes and Spacetouch jointly working in introducing RISC-V powered AI Audio Processor
Andes Technology’s D25F processor, based on the AndeStar…

news icon
07/02/2024
Toppan and IBM to jointly research on EUV Photomasks for 2 nm semiconductor fabs
To develop photomask capability at the Albany NanoTech &…

news icon
07/02/2024
AOS Semiconductor launches DFN packaged power devices with double-side cooling
The AONA66916 Power MOSFET in AOS’ new top-exposed DFN 5 x 6 achieves…

news icon
07/02/2024
MACOM expands PURE DRIVE: Introducing dual channel laser driver and TIA
Support for bare die, flip chip/packaged Ics?…

news icon
07/02/2024
ROHM unveils LMR1002F-LB: Zero-drift Op Amp both industrial and consumer grade
9µV input offset voltage, 0.05µV/°C temperature drift enable precise…

news icon
07/02/2024
SK hynix shared its roadmap for sustainable semiconductor production by recycling materials
Aims to increase recycled materials to 25% by 2025, above 30% by 2030…

news icon
07/02/2024
Tablet Market fell in 2023: Canalys finds 11% Y-o-Y drop in Q4 2023 shipments
Apple retained its leading position…

news icon
06/02/2024
Epson develops unique wide-amplitude LVDS output for crystal oscillators
Flexibly selectable low-noise output matched to the LSI chip…

news icon
05/02/2024
EU Automation supporting surge in demand for Telemecanique Sensors
EU Automation has reported a 35% increase in enquiries…

news icon
27/01/2024
IESA VS 2024: Roads are laid and paved well leading to India semiconductor fab
Government of India is highly focused in enabling semiconductor…

news icon
25/01/2024
At IESA Vision Summit 2024, IESA launches report on semiconductor and ESDM policies in India
The report is aimed to provide as a ready reckoner…

news icon
22/01/2024
Renesas launched new LP Bluetooth LE SoC for locationing and other markets
DA14592 need only six external components…

news icon
22/01/2024
High-rel fixed chip attenuators for space and def
From DC-50 GHz in a small 0604 package size qualified to MIL-PRF-55342…

news icon
19/01/2024
IESA VS 2024: Semiconductor and electronics designed and made in India and exported world over
Electronics manufacturing is important topic for India…

news icon
18/01/2024
TDK offers obstacle detection demo kit to develop products using its ultrasonic sensor modules
The demo kit includes a TDK demo board with USB-A to Micro-B cable…

news icon
17/01/2024
Demystifying the semiconductor fabrication: Free online course from Purdue Univ
Semiconductor Fabrication 101 is a three-to-five-hour course…

news icon
16/01/2024
Synopsys to acquire Ansys for $35 Billion; Combined integrated tool for 3D Ics!
Not only 3D Ics, combined tools can evolve to support design of sophisticated gadgets…

news icon
11/01/2024
Inertial 6DoF XYZ-axis gyroscope and XYZ-axis accelerometer
For high precision machine control and positioning applications…

news icon
11/01/2024
PC market recovering with first growth in eight quarters, 2024 looks positive
The top six PC vendors maintain their positions in Q4 2023…

news icon
11/01/2024
World's first Wi-Fi 7 certified tri-band single chip and AP
These chips are also selected as one of the Wi-Fi CERTIFIED 7 test…

news icon
11/01/2024
Not a wirewound but a planar transformer for your BMS in Evs
Planar-style signal transformers have been introduced to increase design flexibility…

news icon
11/01/2024
TI unveils automotive semiconductors at CES 2024: mmwave radar sensor chip and driver Ics
Chips aimed at increased driving automation and safety…

news icon
11/01/2024
AMD new desktop processors Ryzen 8000G pack powefull GPU and dedicated AI NPU
Ryzen 7 8700G and Ryzen 5 8600G, top models in the series…

news icon
10/01/2024
Record EDA software revenue growth; semiconductor physical design and verification grew 45.3% in 3Q2023

news icon
09/01/2024
VESA updates DisplayPort to support 4-meter cable length and also one for automotive
VESA for 1st time established a standard for vehicle displays that can…

news icon
09/01/2024
Ambiq shares four trends in IoT to watch in 2024
AI-capable hardware right on the endpoint…

news icon
05/01/2024
Emerging markets such as India driving smartwatch market in 2023-24
Primarily driven by a significant 22% surge in basic watch…

news icon
05/01/2024
100 A max current rated PPTC resettable fuses operate upto 125 Deg C for industrial use
The series' smaller package dimension and low power dissipation…

news icon
05/01/2024
EV charging expert Valeo presenting wireless-charging solution called Ineez at CES 2024
It has been designed to ensure worldwide compatibility…

news icon
02/01/2024
China Leads semiconductor wafer fab expansion in 2024
Global semiconductor capacity is expected to increase 6.4% in 2024…

news icon
02/01/2024
Popular India's annual semiconductor event VLSI-D 2024 to be held in Kolkata on 6-10th Jan 2024
This year's theme is ‘VLSI meets AI & Quantum for Cyber-Physical Systems’…