20/09/2024 GlobalFoundries joins Silicon Catalyst semicon startup ecosystem as strategic Partner To recruit, evaluate and select early-stage startups…
20/09/2024 Dr. Ford Tamer named as CEO of FPGA maker Lattice Semiconductor amer replaces Interim CEO Esam Elashmawi…
20/09/2024 Intel to produce an AI fabric chip for AWS on Intel 18A Intel will also produce a custom Xeon 6 chip on Intel 3…
09/09/2024 ABI: IoT RedCap module shipments will accumulate to 80 million from 2024 to 2029 From 2024 to 2029, 56 million modules, or 71% of all RedCap modules, are…
09/09/2024 Global wearable band market up 0.2% in Q2 2024 Smartwatch shipments remained static compared with Q2 2023…
09/09/2024 Advanced IC substrate market: CAGR of 9% to reach US$25.53 billion by 2029 Core of advanced IC substrate manufacturing is concentrated in three Asian countries…
30/08/2024 ESMC- JV between TSMC, Bosch, Infineon and NXP breaks ground on Dresden fab Construction is expected to start later this year…
30/08/2024 Resiltech and Andes collaborating to deliver STL for auto-grade RISC-V processor IP Resiltech’s STLs are designed to streamline the safety certification…
30/08/2024 JEDEC releases new standard for LPDDR5/5X SPD contents JESD406-5 documents the contents of the SPD non-volatile configuration…
28/08/2024 Everspin receive $14.55M award to improve its MRAM manufacturing To mitigate risks to its MRAM manufacturing supply chain…
23/08/2024 Global foundry industry’s revenue: Up by around 9% QoQ and 23% YoY in Q2 2024 AI demand continueto be strong…
23/08/2024 SEMI: 2Q 2024 continued to show signs of improvement with significant growth of IC sales Installed wafer fab capacity reached 40.5 million wafers per quarter…
23/08/2024 TrendForce finds global DRAM revenues grew by 24.8% in 2Q24 Contract prices remained on an upward trend…
23/08/2024 Video surveillance, remote monitoring, and fixed wireless devices drive 5G RedCap IoT China and North America will move aggressively on RedCap…
14/08/2024 AMD acquires Silo AI, a largest private AI lab in Europe Silo AI brings a team of world-class AI scientists…
14/08/2024 ABI: Satellite IoT market to reach US$4 billion by 2030 Satellite IoT market is projected to surpass 26 million connections…
14/08/2024 Entegris wins long term supply of CMP solutions to onsemi In meeting the growing demands of its customers and the overall market…
07/08/2024 AI data center chip demand to reach $151bn in 2029 from $78bn in 2024 Google’s TPUs, starting to capture market share from GPUs…
07/08/2024 VR/MR device shipments forecasted to reach 37.3 million units by 2030 Volume of LCD near-eye display products will be 6.8 million units…
07/08/2024 Global semiconductor sales up by 6.5% in 2nd quarter 2024 compared to previous quarter Sales in the month of June were up both MoM and YoY…
05/08/2024 Fujitsu shares key AI market trends: Major expansion of AI use in the next 3 years Use AI to expand people’s skills and improve their well-being…
05/08/2024 SIA selects Synopsys' founder Aart de Geus for 2024 Robert N. Noyce Award Dr. de Geus started his career at General Electric in 1982…
05/08/2024 JEDEC’s JC-15 Committee invites interested companies to join JEDEC JC-15’s scope include the standardization of thermal characterization…
05/08/2024 SEMI:Worldwide silicon wafer shipments increased 7.1% q-o-q in 2Q24 300mm wafer Q2 shipments indicated 8% quarter-over-quarter growth…
29/07/2024 AI-dedicated silicon for IoT revenues to reach US$7.3 billion by 2030 NPUs for TinyML applications in PWDs are already well established…
29/07/2024 Mitsubishi Electric and Thailand's Forth EMS sign MOU for defence biz partnership To co-manufacture Transmit/Receive Modules for Air Surveillance Radar Systems…
29/07/2024 Europe centered 11-partner ECDA Consortium to up the diversity in chip industry SEMI ECDA will support the EU Chips Act…
29/07/2024 JEDEC revealed its upcoming DDR5 MRDIMM and LPDDR6 CAMM Standards details MRDIMM and CAMM for LPDDR6 are set to revolutionize…
29/07/2024 Accellera formed Federated Simulation Standard Working Group Develop a standard and open infrastructure to enable interoperability…
29/07/2024 Volkswagen next-gen EVs to use onsemi's power semiconductors Strategic supplier for the power box of the traction inverter…
19/07/2024 QuickLogic partners with Spur Microwave to serve Indian market Spur India is a prominent electronics distributor…
19/07/2024 AI server shipments in 2Q24 increased by nearly 20% QoQ The share of AI servers in total server shipments is expected to reach 12.2%…
19/07/2024 Semiconductor foundry industry to grow at a 5.4% CAGR until 2029 Semiconductor device industry is growing at a 5.4% CAGR…
15/07/2024 GlobalFoundries acquires Tagore Tech’s GaN Tech Tagore has been at the forefront of developing disruptive solutions using GaN…
15/07/2024 High-end packaging market to exceed US$28 billion by 2029 With a CAGR 23-29 of 37%…
15/07/2024 SIA: Global semiconductor sales increase 19.3% Y-o-Y in May 2024 Year-to-year sales were up in the Americas by 43.6%…
15/07/2024 TrendForce: Demand from AMD and NVIDIA drives TSMC FOPLP development In 2016, TSMC developed and named its InFO FOWLP…
15/07/2024 onsemi acquired SWIR Vision Systems Provider o colloidal quantum-dot-based short wavelength infrared…
30/06/2024 3D stacking of an AlGaN/GaN/Si HEMT on CPW lines fabricated on 200mm HEMT and CPWs lines were interconnected with copper pillars…
21/06/2024 First functional monolithic CFET demonstrated by imec at IEEE VLSI event CFETs were integrated at 18nm gate length, 60nm gate pitch and 50nm vertical separation…
21/06/2024 IISc researchers turn infrared light visible IISc team used a 2D material to design what they call a non-linear optical mirror…
21/06/2024 ST leads in SiC power semiconductor market with 32.6% share onsemi’s SiC business has progressed rapidly in recent years…
21/06/2024 Semtech appoints Hong Q. Hou, as President and CEO Dr. Hou has served as a member of the Semtech Board of Directors since July 2023…
15/06/2024 Renesas and IIT Hyderabad sign agreement to research on semiconductor systems The MOU will help the IITH foster talent development…
15/06/2024 TrendForce finds 4.3% QoQ drop in revenue for top 10 foundries in 1Q24 TSMC had subsided in 1Q24 revenue to US$18.85 billion…
15/06/2024 Samsung foundry announced two new process nodes, SF2Z and SF4U The company’s latest 2nm process, SF2Z…
15/06/2024 Optical transceiver market to reach $22.4 billion by 2029 from $10.9 billion in 2023 Driven by AI, 800G applications…
09/06/2024 ElevATE Semiconductor and GlobalFoundries partnering in manufacturing HV chips The agreement outlines GF’s supply of its 7HV chip technology to ElevATE…
09/06/2024 BrainChip released a white paper detailing the company’s TENNs-PLEIADES TENNs-PLEIADES excels in directly performing spatio-temporal classification…
09/06/2024 Global semiconductor equipment billings contracted 2% y-o-y to US$26.4 billion in 1Q2024 Strategic investments and demand for advanced technology will catalyze…
31/05/2024 Imec achieves Cu interconnect pitch of 2µm die-to-wafer hybrid bonding Improved die-to-wafer assembly flow opens doors to logic/memory-on-logic stacking…
31/05/2024 Gartner estimates global AI chip revenue to reach $71 billion in 2024 Value of AI Accelerators in Servers to Total $21 Billion in 2024…
31/05/2024 JEDEC releases JEP30 Part Model guidelines to enable chiplet integration The evolution of JEP30 represents a paradigm shift…
24/05/2024 Study finds US to triple its domestic semiconductor manufacturing capacity from 2022 to 2032 U.S. will grow its share of advanced logic (below 10nm) manufacturing to 28%…
24/05/2024 AMD Instinct MI300X powers Azure OpenAI Chat GPT 3.5 and 4 services AI customers have broader access to MI300X to deliver…
24/05/2024 Panasonic to supply batteries to heavy-duty truck by Hexagon Purus and Hino Trucks Heavy duty fleet operators have a pressing need for more innovative platforms…
24/05/2024 With Lunar Lake added, Intel to ship more than 40 million AI PC processors in year 2024 Lunar Lake is expected to be a groundbreaking mobile processor for AI PCs…
17/05/2024 Global cloud spending up by 21% in Q1 2024 to reach US$79.8 billion AI is an increasingly important demand driver of public cloud investment…
17/05/2024 Quantum market to grow from $838 million in 2024 to $1,832 million in 2029 Quantum technologies encompass computing, communication, and sensing…
17/05/2024 Excluding AI chips, no growth for fables IC design houses in 2023 The top five IC design houses boosted their 2023 revenues to $55.268 billion…
10/05/2024 Samsung acquires Medical AI expert Sonio Sonio Detect, an FDA 510(k) cleared AI assistant, leverages deep…
11/05/2024 proteanTecs integrated lifecycle monitoring solutions in its next-generation AI chips SAPEON enhances AI processor with proteanTecs on-chip health and performance monitoring…
11/05/2024 Eaton new plant in Dominican Republic to make fuses for Evs and energy systems Eaton has operated in the Dominican Republic for more than 35 years…
11/05/2024 Insyde BIOS powers latest AMD Ryzen AI notebook PCs Among the new AMD Ryzen AI-equipped PCs powered by Insyde…
24/04/2024 India based Virtual Forest uses Navitas GaN devices in its solar irrigation pumps The $450 million solar-pump market in India…
24/04/2024 Neuromorphic sensing and computing to generate US$28 million revenue in 2024 Neuromorphic technologies hold potential for enabling sustainable…
24/04/2024 Lam Research signs an MoU with the ISM and IISc to upskill future semiconductor workforce Lam is donating nearly $29 million in software licenses…
22/04/2024 Slight 3% growth of global PC shipments in Q1 2024 Lenovo led the PC market in Q1 2024, capturing a 24%…
12/04/2024 AP-SoC shipments to rebound 9% YoY in 2024 Migration from 5/4nm to 3nm chips in flagship smartphones…
12/04/2024 China emerge as largest semiconductor equipment market with 29% y-o-y growth in 2023 China, Korea, and Taiwan, the top three regions in chip equipment…
12/04/2024 India's EV sales to grow by 66% in 2024 Tata Motors led the market but faced competition from Mahindra…
12/04/2024 Processor revenue by 2028 to reach US$242 billion, AI processors take major share Generative AI training emerges as a leading compute application…
12/04/2024 EV sales to slow down in 2024, with a projected increase of 21% A shortage of chargers and limited ranges are not to blame…
09/04/2024 Sony expands semiconductor fab in Thailand SDT plans to create approximately 2,000 new jobs…
09/04/2024 SIA: Global semiconductor sales up by 16.3% YoY in Feb 2024 Although month-to-month sales were down slightly…
09/04/2024 Taiwan's semiconductor fab status post-earthquake Initial inspections revealed minimal damage to equipment and facilities…
03/04/2024 Infineon and Green Hills delivered integrated MCU platform for real-time automotive sys A reliable and secure processing platform…
02/04/2024 High-rel Interconnect maker LEMO opens new subsidiary in India LEMO India Private Ltd is located in Mumbai, Maharashtra…
31/03/2024 Canalys forecast India’s PC and tablet market to grow 14% in 2024 At the tail end of 2023, India’s PC market faced high inventory levels…
31/03/2024 e-peas secures €17.5 Million funding for energy harvesting innovation e-peas is known innovation in energy harvesting technologies…
31/03/2024 Semiconductor device revenue for mobile and consumer reached $296 billion in 2023 Market cycles for mobile & consumer semiconductor devices are primarily…
31/03/2024 Tachyum solidifies reliability, availability and serviceability of Prodigy Universal Processor Tachyum's RAS strategy encompasses Device RAS, System RAS…
31/03/2024 SK Hynix announces volume production of HBM3E DRAM for AI SK Hynix's introduction of HBM3E positions it as a pioneer…
27/03/2024 Semiconductor foundry revenue; both IDM and pureplay to see 15%+ growth in next 3 years Pureplay foundry industry will grow by approximately 16% in 2024…
25/03/2024 Global installation volume of EV Inverter reached 7.14 million units in 4Q23 Inverters for BEVs constituted approximately 53%…
25/03/2024 Winners of Qualcomm Design in India Challenge 2023: Ayati, Rymo and SIAMAF Among the winners are startups innovating in the field of medical…
20/03/2024 Intel foundry to challenge TSMC and Samsung domination with range of innovations Intel Foundry finally takes-off for a giga-range…
19/03/2024 Semiconductor tech by NVIDIA at GTC: GB200 super GPU chip and computational lithography breakthrough GB200 packs 208 billion transistors…
18/03/2024 Advancing quantum processor development: Keysight and Q-CTRL join forces Keysight and Q-CTRL are partnering to provide…
15/03/2024 Top 5 semiconductor foundries expand market share to 88.8% with TSMC having 60% Top ten semiconductor foundries, reaching $30.49 billion Iin 4Q23…
15/03/2024 Magnetic sensor market to reach US$3.7 billion in 2029, 4% CAGR from 2023 to 2029 The industrial & energy sectors are expected to reach US$830 million…
14/03/2024 SIA reports global semiconductor Industry Sales in Jan 2024 down by 2.1% compared to Dec 2023 A notable increase of 15.2% compared to January 2023…
14/03/2024 Braodcom claims volume leadership in VCSEL, EML and CWL optical components in AI market VCSEL and EML technologies are pivotal in…
13/03/2024 BMW to implement ADI’s E²B 10BASE-T1S Ethernet for its ambient lighting system design ADI has been working closely with the BMW Group…
13/03/2024 Must visit site for semiconductor startups in India 85,000 students at B.Tech, M.Tech and PhD level to use…
13/03/2024 PM lays foundation for first India's volume semiconductor fab Calling it a historic day, He said "Semiconductor facilities in Dholera…
13/03/2024 India Electronics and Semiconductor roundup via IESA vision Summit 2024: Cheetahs are bred Challenge is not only connecting the dots but more with…
12/03/2024 AICTE, Arm and ST to organize The 3rd edition of "The Inventors Challenge - 2024" Agile learning and providing inclusive, hands-on mentoring…
12/03/2024 PM to lay foundation stone for 3 semiconductor projects on 13th MAR 2024 at 10:30 AM Prime Minister will also address the youth across…
12/03/2024 Whitepaper lists 38 transformative tech-stats for 2024 Global revenue from public EV charging projected to exceed US$70 billion by 2030…
12/03/2024 Power module revenue is projected to grow from $8 billion in 2023 to $16 billion by 2029 The market is driven by advancements in die-attach and ceramic substrate…
12/03/2024 SDVerse a new platform under-dev to procure Automotive software BoM component Facilitates direct connections between automotive software buyers and sellers…
12/03/2024 DRAM: 29.6% and NAND Flash: 24.5% QoQ growth in 4th Q 2023. reports TrendForce Manufacturers such as Samsung and SK hynix are actively expanding…
12/03/2024 Rittal expands its production capacity in Bangalore amid India's growing Data-center market Rittal Cooling Units have received an overwhelmingly positive response…
12/03/2024 FPGA company Altera detaches from Intel with some cords still connected Altera to address the AI market with its FPGA AI Suite…
12/03/2024 Andes partner with MetaSilicon, Spacetouch and MachineWare to advance its RISC-V arch Collaboration Andes with MetaSilicon, Spacetouch, and MachineWare…
12/03/2024 JEDEC Publishes GDDR7 Graphics Memory Standard JESD239 GDDR7 is the first JEDEC standard DRAM to use the PAM…
11/03/2024 Developing a Smart Transportation System Goes Beyond Intelligent Vehicles The Challenge of True Vehicle Intelligence…
10/03/2024 IEEE approves Panasonic's OFDM based Nessum as new IEEE 1901c standard Nessum extends wired communication frequencies from MHz to KHz…
10/03/2024 Zephyr Project Welcomes Renesas, STMicroelectronics, and Ac6 as Silver Members The Zephyr 3.6 release marks a significant milestone…
10/03/2024 Photonics semiconductor expert Dr. Radha Nagarajan of Marvell wins 2024 David Richardson Medal from Optica Known for commercialization of InP and Si-based photonic…
10/03/2024 EU's top four semiconductor researchers co-ordinate to help design edge AI chips The PREVAIL project aims to establish a networked, multi-hub platform…
10/03/2024 Lam Research recognized as most ethical company 2 times consecutively Lam's steadfast commitment to upholding business integrity…
09/03/2024 SK hynix and Gauss Labs presents 2 papers on AI semiconductor metrology at SPIE AL 2024 Algorithm aggregates data from processing machines…
10/03/2024 SkyWater appoints Dennis Goetz and Joseph Humke to its BoD Mr. Goetz joins the Board with extensive finance experience…
05/03/2024 LTIMindtree Joins IBM Quantum Network LTIMindtree said it will also collaborate with IIT Madras…
29/02/2024 Giant leap for India Semiconductor Mission: Cabinet approves three more semiconductor units Tata to set up a semiconductor fab in partnership with Taiwan's PSMC…
27/02/2024 TrendForce: Trends and challenges in the high-end AI server market in 2024 NVIDIA faces ongoing hurdles in development as it contends with US restrictions…
22/02/2024 In collaboration with India's VVDN Tech BrainChip starts selling its Akida Edge AI Box It is powerer by quad-core CPU platform with Akida AI…
22/02/2024 On-device gen-AI to trigger massive 1.8 Billion Heterogenous AI Chipset Shipments by 2030 The proliferation of on-device AI capabilities…
19/02/2024 Clear Vision: Improved AI technique to remove rain, snow, fog in image recognition By employing linear transformation weights and an…
19/02/2024 HBM forecast by Yole: To reach US$14 billion by 2024 at CAGR of 38% through 2029 DRAM: an industry in full flight…
19/02/2024 Heterogenous SoC design: Chiplet architecture design: Use open-source EDA tool-chain RapidChiplet Designed for rapid design space exploration of chiplet arch…
19/02/2024 Qorvo acquired Beamforming RF IC vendor Anokiwave, founded by Nitin Jain Anokiwave's high-frequency beamforming and…
16/02/2024 Renesas to offer Cloud based PCB design by acquiring Altium Addition of Altium’s design software and cloud platform capabilities…
16/02/2024 Global semiconductor manufacturing industry poised for 2024 recovery, SEMI reports IC sales surged by 10% YoY in Q4 2023…
16/02/2024 AI in medical imaging: A hot topic at ECR 2024 Royal Philips is set to showcase its latest AI-enabled…
16/02/2024 Cirrus Logic, Intel, and Microsoft to collaborate on PC reference design The new platform offers reduced heat generation…
15/02/2024 Newletter from EE Herald for the Month of Jan 2024 IESA VS 24, Chip and Wafer market, and other…
14/02/2024 Knowteq Info LLP appointed as India-distributor to sell Silvaco' EDA and CAD software The growing landscape of semiconductor design in Asia…
14/02/2024 Bangalore based Fermionic launches 0.5/2A Low noise LDO to power SoCs The fast loop response and the low dropout…
13/02/2024 IDC forecast big growth in AI PC shipment: 60 Million in 2024 to 167 Million by 2027 Hardware-enabled AI PCs include an NPU that offers…
13/02/2024 Andes and Spacetouch jointly working in introducing RISC-V powered AI Audio Processor Andes Technology’s D25F processor, based on the AndeStar…
08/02/2024 FutureTech: Your semiconductor chips made in Space-shuttle, Moon or Mars Redwire developed a autonomous semiconductor manufacturing platform for space…
08/02/2024 Worldwide silicon semiconductor wafer revenue down by 10.9% in 2023 Shipments of 12-inch polished and epi wafers contracted 13%…
08/02/2024 Counterpoint: India’s smartwatch shipments grew 50% YoY in 2023 Fire-Boltt asserted its dominance by commanding a 30%…
07/02/2024 Tablet Market fell in 2023: Canalys finds 11% Y-o-Y drop in Q4 2023 shipments Apple retained its leading position…
07/02/2024 SK hynix shared its roadmap for sustainable semiconductor production by recycling materials Aims to increase recycled materials to 25% by 2025, above 30% by 2030…
07/02/2024 Toppan and IBM to jointly research on EUV Photomasks for 2 nm semiconductor fabs To develop photomask capability at the Albany NanoTech &…
06/02/2024 Semiconductor centric Digital India FutureLABS launched by MeitY Digital India futureLABS to act as a catalyst in Indian ESDM innovation…
06/02/2024 Global semiconductor sales revenue drop by 8.2% in 2023, but 4th Q jumps by 8.4% Global sales for the month of December 2023 were $48.6 billion…
05/02/2024 EU Automation supporting surge in demand for Telemecanique Sensors EU Automation has reported a 35% increase in enquiries…
03/02/2024 IISc in collaboration with Synopsys launched a semiconductor learning program For individuals aspiring to build a career in semiconductors…
03/02/2024 IESA VS 2024: India's rising role in global electronics and semiconductor industry India is home to 20% of VLSI design workforce…
27/01/2024 IESA VS 2024: Roads are laid and paved well leading to India semiconductor fab Government of India is highly focused in enabling semiconductor…
25/01/2024 At IESA Vision Summit 2024, IESA launches report on semiconductor and ESDM policies in India The report is aimed to provide as a ready reckoner…
24/01/2024 IESA Vision Summit 2024: Top semiconductor business leadership assembled at the event Design-Led Manufacturing - Leveraging the eco…
22/01/2024 India OSAT: Foxconn partner with HCL to setup semiconductor packaging unit in India HCL is one of the earliest company in India to enter…
22/01/2024 Graphene comes back: Researchers make a first functional semiconductor chip "We now have an extremely robust graphene semiconducto…
19/01/2024 IESA VS 2024: Semiconductor and electronics designed and made in India and exported world over Electronics manufacturing is important topic for India…
17/01/2024 Demystifying the semiconductor fabrication: Free online course from Purdue Univ Semiconductor Fabrication 101 is a three-to-five-hour course…
16/01/2024 Synopsys to acquire Ansys for $35 Billion; Combined integrated tool for 3D ICs! Not only 3D ICs, combined tools can evolve to support design of sophisticated gadgets…
12/01/2024 Three semiconductor business sell-offs as 2024 begin, all headed by Indians In the semiconductor devices area, Renesas to acquire Transphorm…
11/01/2024 PC market recovering with first growth in eight quarters, 2024 looks positive The top six PC vendors maintain their positions in Q4 2023…
10/01/2024 Record EDA software revenue growth; semiconductor physical design and verification grew 45.3% in 3Q2023 …
09/01/2024 Ambiq shares four trends in IoT to watch in 2024 AI-capable hardware right on the endpoint…
09/01/2024 VESA updates DisplayPort to support 4-meter cable length and also one for automotive VESA for 1st time established a standard for vehicle displays that can…
05/01/2024 Emerging markets such as India driving smartwatch market in 2023-24 Primarily driven by a significant 22% surge in basic watch…
05/01/2024 EV charging expert Valeo presenting wireless-charging solution called Ineez at CES 2024 It has been designed to ensure worldwide compatibility…
02/01/2024 China Leads semiconductor wafer fab expansion in 2024 Global semiconductor capacity is expected to increase 6.4% in 2024…
02/01/2024 Popular India's annual semiconductor event VLSI-D 2024 to be held in Kolkata on 6-10th Jan 2024 This year's theme is ‘VLSI meets AI & Quantum for Cyber-Physical Systems’…
27/12/2023 Hitachi owned GlobalLogic acqires Ravi Thummarukudy founded Mobiveil GlobalLogic to benefit from Mobiveil's mature embedded engineering…
22/12/2023 Year-end update on global semiconductor eco market in 2023 AI server market nearly sky-rocketing and automotive is 2nd fastest…
19/12/2023 TSMC' Chairman Dr. Mark Liu to retire in 2024 Dr. Mark Liu joined TSMC in 1993 and assumed the role of chairman…
15/12/2023 Is Asia-(Minus)China, a lot bigger semiconductor market? Vietnam is hot destination now If if you exclude China, Japan, South Korea and Taiwan; the rest-of…
13/12/2023 BNN implementation using memristors is accurate and efficient than CMOS and FPGA We exploited the intrinsic variability of memristors…
13/12/2023 Bosch suggest centralized computer with powerful SoC for whole car instead of clusters Cockpit & ADAS integration platform from Bosch…
13/12/2023 Agile Analog and sureCore partner to implement Cryogenic CMOS on GF 22FDX process Consortium members created cryogenic SPICE models…
12/12/2023 Aliro, new communication protocol for digital door locks with smart phone wearables as key Aliro supports interoperability between mobile devices…
12/12/2023 ROHM and Toshiba complementally utilize each other's power semiconductor production capacity Due to growth in electric vehicles market…
11/12/2023 Exploring the World of Embedded Technology: The Demand for Embedded Edge AI Exploring the Latest Embedded AI Solutions…
11/12/2023 Imec Expands in the US: Opens a lab at Purdue and MoU with University of Michigan Focus on developing innovative semiconductor materials…
11/12/2023 Researchers claim stable and scalable quantum computing by creating logical quantum processor Quantum information processing by using neutral atoms…
06/12/2023 Applied and CEA-Leti collaborate on a joint lab to develop specialty semicon tech Demand for ICAPS applications and devices is being driven by industrial automation…
06/12/2023 TOPPAN to develop and construct a mass production line for FC-BGAs Purchase agreement with OLED developer and manufacturer JOLED…
04/12/2023 3.455 million NEVs sold globally in 3rd Q 2023, grew at 47.8% year-over-year Tesla and BYD were neck-and-neck as BYD trailed…
04/12/2023 CXL Consortium released CXL 3.1 specs Highlights of the CXL 3.1 specification feature…
29/11/2023 The Rise of Kaynes Technology: Redefining India's Electronics and Semiconductor Arena Our R&D investment constitutes about 10% of our revenue, with a plan…
29/11/2023 MobileDrive opens its 3rd R&D centre in Bangalore, India The company is now hiring for new positions in the Bangalore office…
29/11/2023 YAGEO completes acquisition of Telemecanique Sensors Telemecanique is expert in mission-critical electromechanical and electronic sensors…
28/11/2023 PC and server processor expert AMD opened its 3K staff-capacity design center in Bangalore The campus will serve as a centre of excellence for the development…
28/11/2023 IIT Bombay launched1st Annual SemiX Summit, a semiconductor event Several corporate partners have joined the SemiX Industry Affiliate Program…
27/11/2023 Mahindra to procure electric powertrain from Valeo for its EV line The total order is close to 1 billion dollars and was already included…
27/11/2023 GoI approved 27 electronics IT hardware manufacturers under PLI 23 out of 27 approved applicants are ready to start manufacturing on day zero…
27/11/2023 EU Commission and India sign MoU on semiconductors Identify areas for collaboration in research, development…
26/11/2023 Littelfuse and Yuwell Medical to work together on new innovative medical products The teams will focus on driving innovation in research and development…
24/11/2023 Top-3 CSPs AWS, Microsoft Azure and Google Cloud jointly grew by 20% in Q3 2023 Global spending on cloud infrastructure services reached US$73.5 billion in Q3 2023…
24/11/2023 TrendForce predicts around 2% fall in high-end AI server shipments in China Huawei and Biren, will continue to develop general-purpose AI chips…
24/11/2023 SEMICON India co-locate with electronica India and productronica India SEMICON India will give Indian semiconductor and electronics…
23/11/2023 Rapidus and Tenstorrent join hand in developing 2nm Edge AI chips “Japan is very important both to Tenstorrent and to me personally,” said David Bennett…
19/11/2023 2x increase in production capacity by KYOCERA Fineceramics in Europe Kyocera Fineceramics Europe GmbH plans to invest around 34 million euros…
16/11/2023 Mitsubishi Electric and Nexperia join to collaborate on the development of SiC power semiconductors Developing and supplying SiC chips to Nexperia, implementing the technologies…
10/11/2023 Semiconductor biz gaining ground in Odisha, India Leading EDA vendor Synopsys opened its new office…
10/11/2023 Taiwan based Alchip opens its news design center in Malaysia This latest addition to our portfolio of high-performance ASIC design services is a…
10/11/2023 Canopy selects Ambarella’s CV25 AI SoC for its Canopy Pickup Cam system Ambarella’s on-chip H.264/H.265 video compression engine to deliver…
10/11/2023 DigiKey to stock and sell Ambiq made chips DigiKey is now stocking Ambiq’s Apollo4 Blue Plus…
09/11/2023 Hardware/software co-design: IEEE 1666-2023 Std. for SystemC LRM is free to download SystemC is used for hardware/software co-design and virtual platforms…
08/11/2023 Matter provisioning for IoT devices is made secure and easy by CommScope and STMicroelectronics By integrating the PKIWorks platform with the STM32WB wireless microcontroller…
08/11/2023 Scientists at SNBNCBS synthesize porous and crystalline electrode material for Supercaps Team synthesized crystalline COF with dithiophenedione…
07/11/2023 The Key hardware ingredients to develop IOT Embedded system/unit Key components include MCU/processor/SoC, wired and wireless/RF interface…
07/11/2023 TI breaks ground on new 300-mm semiconductor wafer fab in Utah, US The new LFAB2 connect to the TI’s existing 300-mm wafer fab in Lehi…
07/11/2023 Global semiconductors sales of $134.7 billion in 3rd Q 2023, up 6.3% Q-o-Q Asia Pacific/All Other (3.4%), Europe (3.0%)…
07/11/2023 Li-ion battery packs for BEV and PHEV grow to US$180 billion in 2028, at 16.9% CAGR 22-28 China accounting for 57%, with South Korea at 25%…
06/11/2023 Synopsys and IIT-B partnered in setting up semiconductor lab for virtual fab This lab to provide semicon engineering students with the software solutions…
06/11/2023 Analog Devices India appoints Vivek Tyagi as Managing Director-Sales Vivek is actively involved in India semiconductor industry activities…
06/11/2023 Global tablet shipments of 33 million in Q3 2023, 8% up Q-o-Q basis Huawei and Xiaomi surpassed Amazon in the vendor rankings…
06/11/2023 Potential semiconductor hubs in Japan: Kyushu, Tohoku, and Hokkaido Kyushu has swiftly ascended as a semiconductor hotspot…
06/11/2023 EEMBC, the embedded processor benchmarker is now SPEC's new Embedded Group EEMBC ranks the processor performance on various parameters…
03/11/2023 Himax and Nexchip partnering to address growing global automotive display market The MOU aims to cater to the increasing demand for Ics…
03/11/2023 Jabil to acquire Intel’s pluggable Si-phtonics optical Tx module line Also development of future generations of these modules…
03/11/2023 Doulos expands its embedded portfolio by acquiring 2net training courses 2net has delivered almost 10,000 training hours…
03/11/2023 SureCore and Intrinsic collaborate to speed up ReRAM' Time-to-Market Intrinsic's technology offers faster data reading and writing speeds…
30/10/2023 IBM Dharmendra's team develop 25x better AI neural inference chip called NorthPole NorthPole blurs the boundary between compute and memory…
30/10/2023 Wi-Fi 7 features and benefits: Enabler of AR/VR headset, wireless display, and cloud gaming Wi-Fi 7 doubles the number of MU-MIMO spatial streams…
30/10/2023 The Godzillas of silicon chips: Extremely powerful advanced chips in the market WSE-2 with 2.6 trillion transistors is world's largest…
29/10/2023 MediaTek's head Rick Tsai awarded with GSA Dr. Morris Chang Exemplary Leadership Rick Tsai vision has always been to make great technology more accessible…
29/10/2023 Qualcomm validates SK hynix LPDDR5T for compatibility with Snapdragon 8 Gen 3 9.6Gbps product verified to be compatible with Qualcomm’s new Snapdragon mobile processor…
29/10/2023 onsemi’s expanded SiC production plant in Bucheon, South Korea is ready It can produce over one million 200 mm SiC wafers annually…
27/10/2023 CEA-Leti researching on enhancing vehicle driving automation through V2X To ensure the safety of vulnerable road users, such as pedestrians…
27/10/2023 MoC between India and Japan for mutually beneficial resilent semicon supply chain Based on the ongoing India-Japan Digital Partnership…
25/10/2023 Mitsubishi plays a key role in the 2023 IEC White Paper’s drafting White paper feature current status and future trends of society…
25/10/2023 Snapdragon Seamless enables peripherals and data both on Windows and Android Mice and keyboards can work seamlessly across PCs…
25/10/2023 Power electronics at the forefront in transforming data center sustainability Market size for PSUs is projected to reach US$392 million by 2028…
25/10/2023 Study finds revenues of DRAM module makers in 2022 declined by 4.6% Top five memory suppliers accounted for 90% of total sales…
26/10/2023 With 1% decline in Q3 2023 global smartphone market is on recovery path Samsung held onto the leading position with a 20% market share…
25/10/2023 POLYN’s patented VibroSense covers wider IIOT Patent provides exclusive protection for the utilization of POLYN technology…
25/10/2023 BOS to use Tenstorrent IP to create advanced automotive semiconductors Tenstorrent's AI Tensix core technology is licensed…
21/10/2023 NeuReality deployed Arteris FlexNoC interconnect IP in its NR1 server-on-a-chip A first Network Addressable Processing Unit (NAPU)…
20/10/2023 32-core Arm Neoverse CPU chiplet in TSMCʼs 2nm by 1st half 2025 Socionext collaborating with Arm and TSMC to make these chiplets…
20/10/2023 Chinese semiconductor foundries estimated to grow their market share in >28nm Taiwan's share in mature node market to go down to 42% from 49%…
20/10/2023 Automotive semicon chip market to reach US$ 84.3 billion in 2028 at 11.9% CAGR Passenger and light commercial vehicles are shifting into a “Market-driven”…
19/10/2023 HARMAN introduces a new language model called HARMAN HealthGPT designed for the healthcare industry Health GPT combines the advantages of an LLM, such as natural language interaction…
19/10/2023 Hozon chose Wind River Linux to create their recent software-defined vehicle platform Hozon Automotive Intelligent Security Vehicle Platform is a comprehensive hardware and software…
19/10/2023 TT Electronics signed a 5-year huge-contract with Marotta Controls Cleveland to support next-gen military program with Marotta Controls…
19/10/2023 Nokia launches 6G Lab in India The 6G lab will research fundamental technologies such as Network as a Sensor…
19/10/2023 Marvell showcased its 200 Gbps-per-lane electrical I/O at the 2023 OCP Global Summit The use of 224G long-reach SerDes in electrical technology is a crucial advancement for the AI era…
17/10/2023 Accellera announces release of PSS 2.1 to improve chip design automation PSS benefits:Reduced test development costs with portability…
17/10/2023 OCP Demo: F5 NGINX container running on Arm Neoverse-based Marvell OCTEON 10 DPU The demo to be displayed at Arm booth at the OCP Global Summit…
17/10/2023 Crestline to invest in high-end audio expert ICEpower ICEpower founded by Keld Lindegaard Andersen…
17/10/2023 John Deere Electronics recertified 3rd time to the IPC J-STD-001 and IPC-A-610 QML John Deere Electronic Solutions achieved the QML certification nine years ago…
17/10/2023 Silicon IP revenues drop while EDA tools revenue up in 2nd Q 2023 Computer-Aided Engineering revenue jumped 19.7%…
17/10/2023 3nm chip design signoff: Equivalent to scaling of mount Everest! In heterogeneous chiplet based multi-die, a different set of challenges arise…
16/10/2023 SensiML and Silicon Technology collaborates to improve Edge AI SensiML's software creates efficient machine learning code that easily integrates…
13/10/2023 JEDEC JEP30 and OCP CDXML are integrated for new era of chiplet innovation Chiplet Design Exchange (CDX), a working group within the Open Compute Project…
13/10/2023 EdgeCortix and Renesas collaborate to accelerate AI/ML development EdgeCortix offering suite of proprietary software and AI chip…
13/10/2023 AMD to acquire AI software expert Nod.ai Nod.ai provides optimized artificial intelligence…
13/10/2023 Mazda's CX-60 and CX-90 models use Lattice FPGAs in its ADAS Side radar applications developed by Furukawa Electric…
11/10/2023 Reference design for 5G mmWave CPE by pSemi, Peraso, and Richardson RFPD The new Peraso PRS1520 beamformer RFIC and the pSemi PE128300 up-down converter…
11/10/2023 Zomedica acquires Qorvo Biotech LLC Development of point-of-care (POC) diagnostic solutions utilizing Qorvo's BAW…
09/10/2023 Semidynamics and SignatureIP jointly offer Ips for RISC V based muticore AI chips Customisable 64-bit RISC-V solution…
06/10/2023 Tower Semiconductor and Fortsense co-develop dToF based 3D Imager for LiDAR applications 3D imaging, sensors, and systems market is expected to grow at 13% CAGR…
06/10/2023 Top 10 fables chip makers revenue up by 12.5% in Q2 2023 ,while NVIDIA overtakes Qualcomm Android smartphone sector grappled with dwindling demand…
06/10/2023 Processor revenue to hit US$242 billion by 2028, growing at a CAGR of 8% from 2022 to 2028 Consumer electronics demand remains under strain due to macro-economic factors…
06/10/2023 Wafer fab equipment market to reach $ 94 billion in 2023, down by 8.2% compared to 2022 Patterning segment is outperforming with a 24% year-over-year increase…
06/10/2023 IQE collaborating with VisIC to develop 200mm D-mode GaN IQE sees significant opportunities in the GaN Power epiwafer market…
06/10/2023 QuickLogic wins new contract for its FPGA IP used in chips made using GF 22FDX Platform QuickLogic’s eFPGA solution – powered by the Australian IP generato…
06/10/2023 IAR and Edge Impulse offering embedded developers an integrated AI and ML capabilities Using Edge Impulse with IAR and Arm tooling will enable…
06/10/2023 GlobalFoundries opens its new Malaysia Office and expanded facility in Singapore GlobalFoundries’ fab expansion in Singapore will extend its leadership…
05/10/2023 The Alarming Coaching Culture in India – Who to Blame? Tuition and coaching culture are not new…
05/10/2023 Brain Drain and the Quest for Global Education: A Deep Dive into Indian and Chinese Trends India sent 193,124 students to the U.S., making it the second-largest…
05/10/2023 Wireless IoT connectivity market: LPWA networks to reach 5.3 Billion in 2030 Cellular LPWANs struggle with fractured regional deployments…
05/10/2023 Indian solar semiconductor material expert starts wafer and electronics material Co. The first 5-year plan is to deliver a differentiated and future ready Crystal Growth…
05/10/2023 Indian PC shipments: Vendors shipped 3.9 million in Q2 2023, a 15% y-o-y decline All segment categories in India’s PC market underwent a year-on-year decline…
04/10/2023 Wearable band market: 44 million shipped in Q2 2023, y-o-y growth of 6% Wearables market poised for sustained growth at a CAGR 4.1% through 2027…
04/10/2023 Micron breaks ground to constuct its semiconductor ATMP facility in Gujarat New production facility to make BGA packaged DRAM and NAND…
03/10/2023 CDIL Semiconductors starts production of SiC discretes in India CDIL expands the capacity by 100 million units…
03/10/2023 Global EV sales up 49% to 6.2 million units in H1 2023, with 55% of vehicles sold in Mainland China Europe is the second largest EV market, after China…
02/10/2023 UK based magnetic sensor tech developer Neuranics secures £1.9m funding The company’s MCG sensors are easier to use than traditional ECG sensors…
02/10/2023 TrendForce: Market share of OLED automotive panels are estimated to reach 10% by 2026 Total supply of automotive panels will surpass 240 million pieces…
23/09/2023 FPGA expert Lattice names Pravin Desale as Senior VP of R&D With more than 30 years of experience in semiconductor design…
21/09/2023 DVCON India 2023: Chip design verification in AI driven 3nm EDA era VLSI chip design industry is fully relied on design automation software…
16/09/2023 Electronics manufacturing in India steadily taking off for an extraordinary growth Though sporadic, you can find make-in-India and made-in-India kind of…
15/09/2023 Trusted AI EDA is the way out in the maze of 3nm chip design and verification Around 70% of time in verification is spent on debug and coverage…
12/09/2023 Synopsys provides full-stack big data analytics on its AI-driven EDA suite Synopsys Design.da to perform deep analysis of data…
12/09/2023 Electronica India scheduled from 13 to 15 Sept 23 at BIEC Bengaluru Conference called Semiconductor Market Geopolitical Landscape..…
12/09/2023 DVCON India 2023 to focus on VLSI verification automation using AI/ML Good blend of Vision and Keynote talks, lively panel discussions tutorials…
11/09/2023 Magnetic particle imaging device capable of producing images of human brain The magnetic particle imaging device deploys an alternating magnetic field…
08/09/2023 MediaTek Dimensity smart phone SoC taped out in TSMC's 3nm Process TSMC's 3nm technology delivers either an 18% speed…
06/09/2023 AMD XA Zynq UltraScale+ MPSoC inside Hitachi Astemo stereo camera Chip for 360-degree stereo vision system for automated vehicles traveling on regular streets…
06/09/2023 Leading auto companies going for Qualcomm' Snapdragon Digital Chassis BMW, Mercedes, JLR and Mahindra to power their vehicles with Snapdragon…
05/09/2023 Mitsubishi completes installation of 12-inch semiconductor fab for power devices Mitsubishi to start mass production on the new 12-inch wafer line in fiscal 2025…
05/09/2023 MEMS market reach US$20 billion in 2028 at a 5% CAGR 23-28 The consumer MEMS market remains the largest segment…
05/09/2023 Short-wave IR sensor for automotive ADAS deliver high performance at low cost SWIR sensor delivers performance of a 1.3Mp 7um pixel array…
01/09/2023 NVIDIA's stellar AI chip performance in 2023: Details on revenue, tech and market NVIDIA turns goldminer with its H100 and A100 AI capable GPU processor chips…
29/08/2023 AMD CEO Dr. Lisa Su received Distinguished Executive Leadership Award JEDEC is grateful for her invaluable support…
29/08/2023 QuickLogic and YorChip partnering in developing UCIe FPGA Chiplets YorChip is specialised in in UCIe-compatible IP…
29/08/2023 RRAM and RISC V based AI inference chip by TetraMem Andes RISC-V NX27V vector CPU with ACE to create…
29/08/2023 Complete RISC-V mcu in a FPGA from GOWIN Semiconductor AndesCore A25 RISC-V CPU IP and AE350 peripheral subsystem…
29/08/2023 MediaTek SoCs to use Meta’s Llama 2 to handle gen AI processing at Edge MediaTek next-gen chip to feature a software stack optimized to run Llama 2…
29/08/2023 MACOM to acquire the RF biz of Wolfspeed Wolfspeed generated annual revenues of approximately $150 million from…
29/08/2023 GF to spotlight RF, LP, and Secure embeded at Technology Summit 2023 GF’s President and CEO to give keynote on "Essential chips fuel the era of AI"…
21/08/2023 Emerson to acquire factory automation component maker Afag Afag is known for providing leading solutions for electric linear motion…
21/08/2023 Sassine Ghazi to steer Synopsys as CEO and Aart as Exec. Chair effective 1st Jan 24 Synopsys promoted it's long term employee…
21/08/2023 Automotive and industrial market drives double-digit growth for u-blox in 1H2023 u-blox secured a design-win in autonomous driving…
16/08/2023 Semiconductor chip designer Socionext opened new office in Bangalore, India Bangalore, known as the Silicon Valley of India…
16/08/2023 Samsung collaborated further with Intel in vRAN development The combination of Samsung’s vRAN 3.0 & Intel Xeon…
16/08/2023 TSMC, Bosch, Infineon, and NXP partner to build fab in EU The planned fab is expected to have a monthly production…
16/08/2023 Intel and Synopsys go for long and strategic partnership on Silicon IP and EDA Marking another important step in IDM 2.0 strategy…
16/08/2023 Renesas to acquire Sequans Sequans is a fabless semiconductor company…
16/08/2023 Bosch, Infineon, Nordic, NXP Semiconductors, and Qualcomm partner on RISC-V Advancing the adoption of RISC-V globally…
16/08/2023 Tata Motors hold nearly 3/4th of India's electric vehicle market Ranked as the world’s third-largest automotive market…
16/08/2023 India produced 2 Billion mobile phones, grew at 23% CAGR 2014-2022 ‘Made in India’ mobile phone shipments crossed the 2-billion…
08/08/2023 JEDEC publishes CXL Memory Module Base Standard called CMM CMM is designed to provide reference base targets for CXL…
08/08/2023 Savi Soin is appointed as President of Qualcomm India Soin has been with Qualcomm for more than 20 years…
08/08/2023 MACOM appoints Wayne Struble as Senior VP, Advanced Semiconductor Tech Mr. Struble has served as a MACOM Distinguished Fellow…
02/08/2023 High Bandwidth Memory market's dominant product for 2023 is HBM2e HBM2e, employed by the NVIDIA A100/A800, AMD MI200…
02/08/2023 Towards 90% of cars connected in 2028: what are the driving forces? V2X applications will reach US$6.1 billion in 2028…
02/08/2023 Synaptics' exclusive rights on Broadcom' wireless IP extended till 2026 Synaptics’ first Wi-Fi 7 devices will be available for customer sampling…
26/07/2023 India Semiconductor Mission organized SemiconIndia 2023 to start on 28th Jul 2023 SemiconIndia 2023 is aimed to make India a global hub for Semiconductor Design…
26/07/2023 Cadence to acquire Rambus PHY IP Assets Rambus to retain its digital IP business…
26/07/2023 Advanced semiconductor packaging to grow 10% to reach $78.6 B by 2028 AP units are expected to show a 6% CAGR 2022-2028…
26/07/2023 Weak semiconductor memory market brings down growth of fab equipment revenue This downward trend in revenue is accompanied by…
26/07/2023 Synopsys software security event in Bangalore: "Software risk is business risk" Security and reliability is most important aspect of any software…
26/07/2023 36.1 million smartphone shipped in 2nd Q of 2023 in India, a drop of 1% Samsung retained its leading position in the market…
26/07/2023 OLED panels share in smart phones to rise to 50% by 2023 Report highlights the introduction of Eleap technology by JDI…
19/07/2023 Semiconductor market drive-factors: AI, quantum, 5G, and special applications The trillion-dollar semiconductor industry is on the brink of a fresh phase…
19/07/2023 TrendForce:14% rise in the automotive PCB market to reach US$10.5B in 2023 The report further predicts a 5.2% contraction in the global PCB market for 2023…
16/07/2023 Marposs acquires wafer inspection tool vendor Solarius Solarius has a strong presence in the semiconductor regions…
16/07/2023 Schneider launches Catalyze, a renewable energy program for semiconductor manufacturing Intel and Applied Materials are the inaugural corporate sponsors…
16/07/2023 Bourns buys fuel level sensor biz of CTS CTS Corporation has a reputation for engineering excellence…
16/07/2023 KT Moore of Cadence wins 2023 SEMI award for promoting diiversity in work place By prioritizing DE&I work at Cadence and in the broader community…
16/07/2023 SEMI award winners at Semicon West 2023 in flexible electronics Pradeep Lall, MacFarlane Endowed Distinguished Professor…
16/07/2023 Global semiconductor equipment market forecast: $87B in 2023, and 100B in 2024 Equipment sales for foundry and logic applications, accounting for more than half…
16/07/2023 2nd Quarter 2023 is 6th decline in sequence for global PC market Except Apple, rest of the PC vendors see decline…
16/07/2023 SilTerra uses Silvaco’s EDA tools in its latest CMOS foundry Circuit simulation tools, Viola, Cello and SmartSpice…
16/07/2023 DAC 2023: AI by AI to design heterogenous 3D IC This year event was buzzing with packed halls with EDA geeks…
16/07/2023 Cerebras win SEMI Award 2023 for its chip with 2.6 trillion transistors 40 gigabytes of on-chip memory with an active area of 46,225 mm2…
13/07/2023 Intel 16nm foundry supported by tools from EDA leaders Cadence announced is latest EDA tools and the VLSI IP…
13/07/2023 AMD EPYC CPUs crunch SAP workloads on Google Cloud SAP has chosen AMD EPYC processor-powered Google Cloud N2D virtual machines…
11/07/2023 EDA industry generated a revenue of $4B in Q1 2023, growth of 12% The electronic design automation (EDA) industry continued to post double-digit gains in Q1 2023…
09/07/2023 DAC pre-event: Tcube, designing AI chips on cloud hot at 60th Design Automation Conference A pre-event round up on 60th DAC 2023, speaker list and hot topics…
06/07/2023 Passive electronic component maker Abracon acquire NEL Frequency Control NEL Frequency Controls is started in 1986 makes precision timing devices…
06/07/2023 Renesas secure SiC Wafer supply for 10 years from Wolfspeed Wolfspeed to provide Renesas with 150mm silicon carbide bare and epitaxial wafers…
05-07-2023 Kontron to aquire cellular automotive module unit from Telit Cinterion Telit Cinterion Announces Sale of Its Cellular Automotive Module Unit to Kontron …
05-07-2023 AcBel complete the acquisition of ABB' power conversion division ABB announced it has completed the divestment of its Power Conversion division …
04-07-2023 RF GaN market is expected to exceed US$2 billion by 2028 GaN-on-Si technology is still being investigated and developed by the ecosystem …
04-07-2023 Notebook shipments to see a quarterly growth of 15.7% in 2Q23 Notebook brands were primarily focused on reducing excess terminal inventory in 1Q23 …
02-07-2023 Yole: High-end semiconductor packaging market is $2.2B in 2022, to reach $16 B by 2028 Big players like Intel, TSMC, and Samsung have successfully …
02-07-2023 Imec and ASML intensify collaboration with advanced EUV pilot line at Imec' facility Imec facility to have ASM's latest model 0.55 NA EUV …
02-07-2023 India based semiconductor design services firms HCLTech and Tech Mahindra win Intel awards Both HCLTech and Tech Mahindra offer VLSI design and semiconductor …
02-07-2023 Weebit’s ReRAM IP qualified in SkyWater S130 process meeting industrial temp High endurance: 10K flash-equivalent cycles …
02-07-2023 Omdia: Semiconductor revenue in 1Q23 settled at $120.5B, down 9% from 4Q22 The memory and MPU market are contributing to the decline …
02-07-2023 IDC:Global semiconductor foundry market to down by 6.5% in 2023, and grew 27.9% in 2022 Top 10 semiconductor foundry vendors are TSMC, Samsung Foundry, UMC, GlobalFoundries, SMIC …
02-07-2023 IDC: Smart home devices market to decline by 1.8% overall in 2023 However IDC sees the market to grow back in 2024 …
02-07-2023 OKI IDS automates High Level VLSI design using Siemens Catapult The benefits OKI IDS getting from this HL VLSI design tool …
30-06-2023 Paul H. Pickle joined Semtech as President and CEO and its BoD Paul H. Pickle and Hong Q. Hou join Semtech' BoD …
29-06-2023 Consolidation has helped the DRAM industry stay profitable, but will China’s entrance end the stability? The DRAM industry experienced its first operating loss since 2012 in Q1-23 …
28-06-2023 Wind River and Samsung collaborate on software-defined vehicle tech To develop of high-quality in-vehicle infotainment …
28-06-2023 Samsung foundry supported by Cadence 3D IC eda tool Integrity 3D-IC platform features early analysis …
27-06-2023 IPC: North American EMS up by 7.1% and PCB sales by 6.7% in May IPC shared its May 2023 findings from its North American …
27-06-2023 Renesas choose Altium as PCB design software for its internal work Chipmakers generally provide reference designs and test kits …
27-06-2023 onsemi on track for $1 billion SiC revenues in 2023: What is it doing right? Major IDMs are capitalising on the rapidly-growing power SiC device market …
27-06-2023 CELUS and MITAI to jointly provide EDA solutions for electronics engineering Electronics design such as capturing PCB architecture, schematics and BOM …
27-06-2023 Lam's VR headset based virtual fab for semiconductor engineering research in India Enabling remote research labs and fabs to connect each other in India …
27-06-2023 Silvaco’s Victory TCAD usage grows, SK Powertech using it for SiC power devices SK Powertech is employing Silvaco' Victory TCAD …
27-06-2023 Intel product-business and its foundry to work at arm-length Intel’s internal product groups move to a foundry-style relationship …
27-06-2023 Samsung Foundry uses Keysight MG Software to create VLSI circuit libraries MG software is part of PathWave Device Modeling (IC-CAP) …
26-06-2023 AI EDA on Cloud, a super-fast emerging market opportunity in semiconductor chip design AI EDA on cloud offers significant advantage. Just simply it is "AI for AI" …
26-06-2023 1nm semiconductor chips: Research references points 1nm GAA FETs is achievable Germanium and III–V compounds are known to effectively improve the electron mobility …
23-06-2023 Applied intend to build new semiconductor research centre in Bangalore, India Applied Materials announced its intend to invest $400 million …
22-06-2023 Micron to build new semiconductor chip assembly and test facility in Gujarat, India Construction to begin in 2023 and to be operational by late 2024 …
21-06-2023 Mazda's future EV cars and other vehicles to be powered by Panasonic Li-ion batteries Panasonic supplying Mazda with automotive cylindrical lithium-ion batteries …
21-06-2023 Mitsubishi demos laser communication in satellite to satellite and to ground The new module generated a 1.5-µm wavelength signal which was installed in the OPTIMAL-11 …
20-06-2023 Semiconductor chip design market booming in AI with flat or -ve growth in others Qualcomm's revenue from its smart phone SOC business grew in first quarter 2023 by 6.1% …
20-06-2023 STMicro' semiconductor devices to enable hybrid powering of Airbus engines The joint effort to focus on developing SiC and GaN devices …
20-06-2023 Intel to invest € 30B to setup angstrom-scale chipfab in Germany, calls it "semiconductor junction" Building the ‘Silicon Junction’ in Magdeburg is a critical part of Intel’s growth …
19-06-2023 Semiconductor fab equipment revenues grew 9% to reach $120 Billion The top five manufacturers generated a revenue of $95 billion in 2022. …
19-06-2023 Intel to invest up to $4.6 billion to build semiconductor ATMP facility in Poland To ensure resilient and geographically balanced semiconductor supply chain …
19-06-2023 US to overtake Germany to become second-biggest EV automotive market Electric vehicles sales in US has gone up by 79% year over year …
16-06-2023 Renesas enhance AI in small MCUs after acquiring Reality Analytics By using Reality AI Tools software environment users can automatically explore sensor data …
16-06-2023 Samsung IP eco supported by EDA Cos Cadence and Synopsys Synopsys and Cadence have announced delivering silicon VLSI IP …
13-06-2023 Massive 18.6% drop of revenue for semiconductor foundries in 1Q 2023 GlobalFoundries overtook UMC to take the third position …
13-06-2023 Lockheed Martin and GlobalFoundries collaborate on securing advanced chips Lockheed Martin to more quickly and affordably produce secure solutions …
12-06-2023 SEMI: Semiconductor equipment billings up by 9% y-o-y to US$26.8 B Semiconductor equipment revenue in the first quarter was robust …
08-06-2023 How to start RISC V based semiconductor chip and electronic product development To get that you need register as a member of RISC V …
07-06-2023 WSTS: 10.3% drop in global semiconductor revenue, SIA report 0.3% up in Mar 23 In 2024 the global semiconductor market is forecasted to surge by 11.8% …
07-06-2023 Germanium Tin alloy as channel material for <2nm CMOS Team could fabricate new type of transistor from a germanium-tin alloy …
07-06-2023 Semiconductor based automotive sensors to grow 10% CAGR 2022-28 Lidar to grow by 71%, Radar by 19% and CMOS image sensor by 13% by 2028 …
06-06-2023 GF and STMicro finalize 300mm fab agreement with financial support from French Govt. France government to provide € 2.9 billion in state aid …
06-06-2023 BrainChip' Akida and Lorser' SDR for neuromorphic computing in SDR devices Neuromorphic computing is the future of AI/ML …
06-06-2023 VeriSilicon gets Bluetooth 5.3 Certification for its complete BLE solution Bluetooth Core Specification Version 5.3 brings enhancements …
06-06-2023 ADI appoints Stephen Jennings to its BoD Analog Devices announced that its Board of Directors …
06-06-2023 Wearble band market grows triple digit in India in 1Q2023 Global wearable band shipments declined by 1% year-on-year …
05-06-2023 Nidec and Renesas collaborate on semiconductor in E-Axle for electric vehicles To develop semiconductor solutions for a next-generation E-Axle …
05-06-2023 AI tech plan walk-path for autonomous robots to co-work with humans Panasonic has developed a new technology for efficient path planning …
02-06-2023 Global enterprise SSD market: Surge in inventory and 47.3% drop in Q12023 revenue Slump in purchasing enthusiasm in markets like North American server ODM and China …
01-06-2023 Synaptics' touch controller and Boréas’ piezo haptics powers thinner, lighter trackpad module S9AOH IC is an integrated hardware/software touch platform …
31-05-2023 Automotive: EV sales reach close to 2 Million in 1Q 2023, yoy growth of 26% Sales of Battery EVs contributed 1.942 million units to this total …
30-05-2023 MediaTek to pack Nvidia chiplets in its Auto SoCs for AI processing MediaTek partnering with NVIDIA to deliver a complete range …
30-05-2023 Commsignia and Mitsubishi partner on Advanced V2X Commsignia and Mitsubishi agreed to form a strategic partnership to deliver V2X …
30-05-2023 Synopsys EDA and IP optimized for Arm' new compute platform Arm's latest compute platform includes the Synopsys.ai full-stack AI-driven EDA suite …
25-05-2023 Foldable smart phones to grow 55% in 2023, new models to make them affordable Samsung has a strong lead in foldable smartphone market …
25-05-2023 FPGA training: Lattice starts extensive training courses with a new site The course is somewhat modular featuring different learning plans …
25-05-2023 Vedanta building core team for semicon biz with string of senior appointments VFSL said it continues to build a team of highly experienced personnel for its semiconductor biz …
25-05-2023 JEDEC updates JEP30, electronics component part data exchange guidelines JEP30 establishes requirements for the frictionless digital exchange of part data between …
23-05-2023 Applied to invest 4 billion to set up collaborative semiconductor research center Applied Materials in developing processes and the equipment in making angstrom scale …
19-05-2023 Japan to pull global semiconductor investment to counter China Heads from top semiconductor co.s such as Micron, TSMC, Intel, Samsung have met Japanese PM …
17-05-2023 Solar PV Inverter maker Sineng design-assisted by onsemi on using SiC and IGBT Sineng Electric to use onsemi's EliteSiC silicon carbide (SiC) MOSFETs and IGBT …
17-05-2023 ADI invests Euro 630 M in Ireland to ensure semiconductor global supply chain resilience Eying the growing digital transformation of Industrial, Automotive, Healthcare …
15-05-2023 Smart Phone SoC design 2023 trends: AI processor is factoring-in Let's get into growing neuron elements inside the smart phone SoC device/brain …
11-05-2023 Made in India semiconductor wafer for LEDs Polymatech Electronics, India's first semiconductor chips manufacturer …
09-05-2023 Black Sesame, Blue Ocean, Tenstorrent use Ncore and FlexNoC in AI chips Ncore and FlexNoC from Arteris is one such Ips used by some of the latest AI chips …
09-05-2023 BorgWarner wins multiple component contracts in electric vehicle market BorgWarner is trying set its foot strong in electric vehicle component market …
07-05-2023 AudioCodes is approved partner for Microsoft’s Operator Connect Accelerator AudioCodes Live Cloud is a white label, multi-tenant SaaS solution …
04-05-2023 Semiconductor market in 1Q 2023: End of the steep fall, close to bottom Memory and client computing down, automotive and industrial up …
04-05-2023 JEDEC to bring standards on stackable DDR5 CAMM Memory modules JEDEC is updating its CAMM standards to include stackable CAMMs …
04-05-2023 Tower and Teramount work together to connect more optical fibres to silicon chips By combining Teramount’s ‘PhotonicPlug’ technology and Tower’s …
04-05-2023 Firmware news: Insyde Software to support opensource AMD OpenSIL InsydeH2O UEFI BIOS to support AMD x86 OpenSIL …
02-05-2023 Spectra7, an analog semiconductor company see a triple digit revenue growth Spectra7 reported its fourth quarter 2022 revenue of $3.0 million …
02-05-2023 EDA Trio Synopsys, Ansys and Keysight offer 79 GHz XCVR ref flow on TSMC 16FFC 79GHz millimeter wave (mmWave) radio frequency (RF) design reference flow …
02-05-2023 Comprehensive processor audio benchmarking called AudioMark from EEMBC AudioMark is more accurate, portable and relevant compared …