PLD / FPGA Last update: 15 Jun 2021
Top Stories
Is Xilinx too big for AMD?
Victor Peng to be the CEO of Xilinx and Brian Crutcher for TI
FPGA accelerators on Amazon powered by Xilinx Virtex UltraScale+
QuickLogic is the latest semiconductor partner of CyWeeMotion
Baidu employs Xilinx's FPGAs to accelerate machine learning
Embedded-FPGA-tech expert Flex Logix gets $7.4M VC funding
FPGA ingredient: Must in 4G/5G wireless, cloud and data centers
NAND Flash life enhanced using FPGA
FPGA in data center: Presentation at OpenPOWER Foundation China Summit
7nm FPGAs not far away
Xilinx generates $1 billion revenue from 28 nm FPGA chips
FPGA for IoT, Altera joins IIC
What Intel will do with Altera, a programmable-silicon IP gold mine
ASSP to marry FPGA
Its not microcontroller nor FPGA, it is the popular programmable SoC
A start up turns semiconductor into a gel for SoC VLSI design engineers
FPGA leader Xilinx invests in MCU maker XMOS
Synopsys suggests design service companies for HAPS FPGA protos
NEC employs Single Mask Adaptable ASIC instead of FPGAs
Altera demo FPGA powered Suricata Engine, an open IDS/IPS sys
FPGA nanometer cutting edge: is 14nm sharper?
Altera demos interlaken connectivity between Cavium processors and Stratix FPGA
MoSys joins Xilinx Alliance Program supporting 100G and beyond n/w sys
Nallatech to showcase OpenCL compatible FPGA accelerator cards at ISC
FPGA race: Xilinx'16nm vs Altera'14nm turns into TSMC vs Intel foundry
Altera to acquire power semiconductor SoC company Enpirion
Embedded Systems on Module by Reflex CES using Zync FPGA SoC
20nm FPGA follows successful production of 28nm
BittWare supports Altera's SDK for OpenCL on the S5-PCIe-HQ board
Altera benchmarks complex Floating-Point DSP designs on 28 nm FPGAs
Xilinx acquires Modesat Communications to strengthen wireless backhaul solutions
Xilinx’s 7 series evaluation kits uses SiTime’s programmable MEMS oscillators
The 5th EDA software with analytical place and route for VLSI design, but for FPGA
Microsemi ships 10,000th radiation-tolerant RTAX-S/SL FPGA
Xilinx's 28nm FPGAs supported by Aldec solutions at X-Fest 2012
FPGA based video analytics solution from Altera and Eutecus for video surveillance systems
Indian R&D outsourcers HCL and Wipro are design support members of Altera
TSMC and Altera collaborate in 3D chip tech
Xilinx strengthens its R and D base in India
FPGA and optical transceivers in single package for higher data-speed
FPGA-RLDRAM inteface is demonstrated
Altera uses Mentor Graphics Veloce for verifications of its FPGA designs
FPGA gaining entry into consumer electronics
Xilinx starts shipping Zynq-70000 devices
Lattice Semiconductor has shipped 75 million MachXO PLDs
Altera started shipping its 28-nm Arria V FPGA chips
Stratix IV FPGAs inside CHREC designed supercomputer
Phoenix Tech is authorized distributor for Lattice' chips
Altera to support OpenCL for FPGAs
Xilinx suggests R&D outsourcers for its FPGA buyers
ZTE designs packet-based multiservice bearer platform using Xilinx Virtex-6 FPGAs
Surveillance camera reference design using Lattice' FPGA
Virtual platform for developing sys based on Xilinx' Zynq-7000
Semtech uses MATLAB and Simulink to develop FPGA prototypes
28nm chips from TSMC are in volume shipment
Altera demonstrates floating-point DSP design flow on an FPGA
BittWare's Anemone chips for FPGAs available on FMC card
KaiSemi offers silicon solutions for obsolete FPGA and EoL ASICs with netlist
Xilinx' Spartan-6 FPGAs used in NI's RIO sys
Microsemi achieves AS9100 Rev C and ISO 9001 certifications
Xilinx FPGA chip with ARM cortex A9 wins product of the year award at Electrons d'Or in Paris
SiliconGear and Namsong design their video sys using Xilinx Spartan-6 FPGAs
Digi-Key to distribute Lattice' programmable silicon chips
Araine control to use Microsemi's FPGA in its smart grid products
Xilinx acquires IP provider Sarance Technologies
MIPS-Based soft processor for use in Altera's FPGA and ASICs
Semiconductor vendor ranking in 2010; the movers and shakers as per IHS iSuppli
Lattice opens new support office in Bangalore
Altera to up the inter-chip communication speed by going optic
Japan tremors and tsunami will pass through the global semiconductor industry
More 3rd party software support for SmartFusion FPGAs
Practical online guide on how to use FPGAs for SoC chip design
At 28nm, FPGA designs find exponential increase of applications
Xilinx joins GigaChip alliance
KaiSemi simplifies FPGA-to-ASIC conversion
Altera's Stratix IV GT FPGA passes Ethernet Alliance's HSE Interoperability test
Lithography based semiconductor chip making after 15nm is less certain
Strategy Analytics's tech predictions for 2011
Achronix Semiconductor to make high density FPGAs using Intel's 22nm fab
Xilinx leads the industry in semiconductor chip stacking on Silicon layer
Altera to showcase its latest communications solutions for military apps at MILCOM 2010
Lattice MachXO PLDs shipping counter crosses 50 million mark
Advantech's networking and gaming platforms uses Actel's FPGAs
Cadence and Xilinx release new Xilinx IP Ecosystem microsite for FPGA designers
Microsemi acquires FPGA vendor Actel
NSA approves Xilinx' Virtex-5Q FPGAs for Type 1 crypto sys
Synopsys and Lattice renew OEM relationship for FPGA synthesis software
ADI collaborates with Xilinx to make the base station more programmable and flexible
Mentor Graphics partners EnSilica to develop its FPGA IP platform
Radiation tolerant Flash based FPGAs from Actel now qualified for spaceflight systems
University of Saskatchewan wins Innovate North America FPGA competition
At 28nm FPGA chips ready for more applications
Semiconductor industry sales forecast for 2010: SIA; 28.4%, Gartner; 27%
Webinar on embedded software application using Actel's ARM based FPGAs
Actel provides free access to its VLSI IP libraries
At FPGA Camp it was not Xilinx v/s Altera v/s Lattice Semiconductor
Free vendor-neutral FPGA design learning event in Bangalore
Cavium's OCTEON II and the Lattice' ECP3 FPGA to talk via serial I/O
Webinar by Actel on its Smartfusion FPGA products
Robust market demand for semiconductor devices in 2010
Latest semiconductor market stats from SIA and IDC
The market growth to continue for semiconductor industry in remaining quarters of 2010
Actel partners with Cryptography Research to enhance security of its FPGAs
Stratix IV GT FPGAs from Altera interoperate with QSFP high-speed optical modules
Lattice Semiconductor's ispMACH 4000 CPLD shipment counter crosses 200 million
Tabula delivers breakthrough tech in FPGAs by adding another programming variable
Digi-Key to stock and sell Altera's FPGAs in Asia-Pacific region
Production shipment of 40-nm Arria II GX FPGAs from Altera
Between Xilinx and Altera at 28nm, who is the winner?
New innovations to improve density and I/O performance of Altera's 28-nm FPGAs
Full qualification of Xilinx Virtex-6 FPGA family on UMC's 40nm process devices
Actel FPGAs inside Boeing's 787 Dreamliner
Xilinx to showcase its India team's design strength at VLSI Conference, Bangalore
Interaction with Xilinx-India CTO on FPGA design issues and trends
XtremeData deploy Altera's Stratix IV FPGAs into its dbX Analytics appliances
Advanced semiconductor chips at a price of a dollar and less
Videocast to learn techniques of powering Xilinx FPGAs
Joint collaboration enables ARM processor and intrachip bus IP on Xilinx FPGAs
Altera started shipping 40-nm Stratix IV GX FPGAs
Semiconductor industry is cautiously optimistic on next phase of growth
Barco's JPEG 2000 IP core supports 40nm FPGA platforms
Q&A: The increasing role of FPGA in embedded systems and latest trends
Actel FPGA on-board NASA Lunar spacecrafts
Sumitomo employs Stratix IV GX FPGAs in its LDPC system
Webinar by Actel and Aldec on designing FPGA based aerospace systems
One more PSoC lab in Tamil Nadu, India by Cypress Semiconductor
Harmonic choose Stratix FPGA for its video broadcast encoder
Altera and National shake hands to route video signal inside the car
Q4 2008 revenues freeze semiconductor industry to a dope less silicon
Drop of just 1% in sales revenue for Actel in 4Q08
Cypress revenues are down by 26% in Q4 of 08, but PSoC register record revenue
FPGA based motion -control platform to North American customers
IP cores from IPextreme support Mentor Graphics' PrecisionR Synthesis FPGA tool
Barco's ARINC 429 IP core for ACTEL FPGA is DO-254 certified
Cypress granted with 750 patents related to programmable logic
Actel to hold aerospace focused FPGA design forum
Future Electronics to sell and distribute Actel's products
Xilinx to present tutorials on FPGAs at FPGA Summit in US
SiliconBlue and Magma partnering in providing FPGA design tools
Actel's FPGAs to manufacture from UMC's 65nm low leakage and embedded flash tech
Impulse C-to-FPGA tool is integrated into LynuxWorks' BlueCat embedded Linux OS
Security tools for any unauthorized data transfer through USB flash drive
Silicon Micro System to train Indian electronic engineers on Lattice PLD/FPGAs
Bangalore's Ittium Systems goes for Altera's Stratix II
Lattice products are ISO/TS 16949 certified Xilinx adds EtherCAT IP core for it's Spartan series FPGAs
Drag and drop solution announced by Altera and Northwest logic for 667-Mbps DDR2 SDRAM interface in Stratix II FPGAs
New Products LEON5FT and NOEL-V processor available for PolarFire FPGA Gen2 Lattice Mach-NX FPGA for cyber security in range of systems Open FPGA stack from Intel for hardware accelerators Xilinx's reVISION to expand vision-guided machine learning applications XJFlash enables 20x times faster FPGA flash programming intoPIX announces availability Of 4:4:4 TICO FPGA IP-Cores Xilinx Ships 16nm Virtex UltraScale+ Devices SiP Device that Integrate HBM2 DRAM with FPGAs FPGA video IP sub-system written C and C++ and leverages Vivado HLS Flex Logix adds BRAM and DSP cores to its FPGA for SoC product 16nm FPGAs getting shipped 2.5 D FPGA and HBM solution, a 14x speed improvement over DDR4 DRAM DIMM FPGAs get into open source virtual reality eco FPGA designed in China with embedded flash memory Microsemi's RTG4 FPGA development kit for satellite electronics Programmable logic based voice interface for IoT wearables and mobiles Lattice’s FPGA based sensor hub in Star 2 Smartphone from ZTE An embedded engineer's delight: 16 nm SoC FPGA with 4 ARM Cortex A 53 cores taped out eASIC reprogrammable technology with Intel Xeon processors for cloud sys Vendor independent HDL code for image processing using FPGA/ ASICs FPGA solution for reliable Video-over-IP Xilinx beats Altera through more than Moore 3D path; FPGA can pack 10 ARM Cortex A9 Security in Silicon: Microsemi FPGAs feature PUF Low cost Xilinx FPGA-based MIPI interface IP for embedded systems FPGA based new ref design for IEEE 802.3 MDIO interface controllers An FPGA in every mobile device, Lattice way of biz 2x performance improvement by using Altera 14 nm Stratix 10 20 nm Kintex FPGA from Xilinx achieve PCI express compliance Enhanced FPGA software Vivado is 25% faster and support HL synth Hardened IEEE 754-compliant floating-point operators in an FPGA Hybrid Memory Cube Controller IP optimized for Virtex-7 FPGA Fine-pitch copper bumps packaging for Arria 10 FPGAs FPGA powered data plane programmability Small form factor FPGAs from Microsemi First 100G Ethernet functionality using hard IP on FPGA Less than $1 priced 2.5x2.5mm MachXO3 FPGA is getting shipped X-ES launches COTS XMC modules designed using Xilinx Virtex-7 FPGA Altera releases the Quartus II software supporting 20 nm FPGA design Software support for Xilinx' Zynq to design machine vision faster Tabula' FPGAs to support of GigaChip Interface OpenCL expanded to BittWare's Stratix V FPGA half-length PCIe x8 card Xilinx ahead in the race by shipping 20 nm FPGAs On-chip JESD204B ADC-FPGA signal integrity check tool from ADI Kumamoto University adopts Aldec’s SoC and FPGA prototyping tool FPGA based OpenVPX System for mil/defence electronics JPEG2000-RAW IP-cores from intoPIX for FPGA UHDTV JPEG 2000 cores supporting 28 nm FPGAs and SOCs FPGA-based reference designs for media interface in mobiles $24.99 USB FPGA development board/kit for mobile app development Synopsys extends its FPGA tool support to Lattice Semiconductor Agilent's FPGA kit for advanced sys design is supported Mentor's software CSSP ICs from QuickLogic for connecting SDIO to USB Altera to sample its 14nm FPGA test-chips by end-2013 SoC prototype from S2C based on Xilinx’s 28-nm Kintex-7 FPGA Xilinx offering new release of real-time video engine to run on Zynq SoC 28nm FPGA SoCs from Xilinx for commercial avionics with certifiable solutions FPGA fabric and ASIC core twined in a chip/module, a recipe for smart systems New version of IO Checker from SynaptiCAD to verify FPGA I/Os FPGA for mobile/portable electronics with footprint of 2.5x2.5mm Configurable SoC tech from Toshiba as a low cost FPGA replacement TI TMS320C6678 featured FPGA mezzanine card for mission critical apps Altera launches FPGA reference design for bi-directional power grid automation Gb speed 1024QAM PtP microwave modem IP based on Xilinx latest FPGAs Artix-7 FPGA AC701 evaluation kit with 10+ reference designs BittWare offers specially priced FPGA board for OpenCL development WebPACK Edition of Xilinx' Vivado is available FPGA IP core enables interoperability between Xilinx Virtex-6 and Altera Stratix IV/V Defense grade 7-series FPGAs from Xilinx available in volumes from Q1 2013 High bandwidth 28-nm midrange FPGA unveiled by Altera BittWare’s Stratix V 6U OpenVPX COTS FPGA board is now available Altera releases broad set of 28 nm FPGA Dev Kits FPGA module from CommAgility for wireless and general purpose applications Radiation tolerant RTAX-S/SL FPGAs from Microsemi are QML qualified Shipment of MachXO2 PLD from Lattice Semiconductor touches one million mark Production release of mobileFPGA device families from Lattice Deep trace debug feature in Synopsys' HAPS FPGA tool Ready accessories from S2C speeds up FPGA prototype development Reference design from Maxim to protect Xilinx' Spartan-6 FPGA Achronix packs high-density logic in FPGA by leveraging Intel fab 28nm FPGAs supported by Barco Silex's JPEG 2000 IP Latest Synplify FPGA synthesis tool from Synopsys accelerate runtime by 30% Xilinx ships 28nm Virtex-7 X690T FPGAs Altera delivers its 28-nm Production FPGAs Riviera-PRO from Aldec enhanced for complex FPGA & ASIC coding Active shutter 3D video camera using Lattice' low cost FPGA Xilinx launches reference design material and kits for its 28nm FPGA chips Lattice announces mini FPGA for image processing apps Altera interoperates its 28-nm FPGA with PLX's PCIe Gen3 switch Lattice continues to play its role as cost-optimized FPGA solution vendor Synopsys updates its FPGA prototyping tools to work faster Lattice releases Windows-based ispLEVER Classic 1.5 design software Bandwidth Engine FPGA companion kit and characterization kit from MoSys Altera launched SoC FPGA chips with ARM Cortex-A9 MPCore processor iPhone app by Xilinx for FPGA designers Synopsys enhances its FPGA tool to reduce design errors Micro/sys uses Xilinx Spartan-6 FPGA to build COTS SBC Altera ships 28-Gbps-enabled FPGA for next-gen 100G systems Altera's first 28-nm FPGA development kit IP and a reference design for IEEE 1588 PTP on Microsemi's cSoC Unclonabe security IP for flash-based FPGAs from Microsemi SmartFusion chips from Microsemi supports FreeRTOS Radiation hardened space-grade Virtex-5QV FPGA from Xilinx in production volumes 2.5 x 2.5mm measuring micro plastic BGA packaged mobile FPGA chips for mobile apps BittWorks II Toolkit from BittWare for signal processing apps using FPGA Probe Visualizer for verification and debug of FPGA-based prototype boards The new floating point co-processor from BittWare for FPGAs FPGA development kit for $99 from Lattice Semiconductor Altera sampling its 28nm FPGA packing 3.9 billion transistors Improved FPGA module with USB2.0 interface for system prototyping HD video surveillance chipset jointly by Altera, Apical, AltaSens Xilinx sampling its 7 series 28nm FPGAs FPGA development learner board for embedded system applications Xilinx updates its FPGA software 'ISE design suite' to support 7 series Tools from Synopsys and Mentor for Xilinx' FPGA design synthesis FPGA platform for 100G OTN both by Xilinx and Altera ARM Cortex A9 and FPGA in single chip for embedded board design Genode version 11.02 supports softcore CPU in FPGA based SoC chips Update on the kits and boards for FPGA based DSP board design and development Dual voltage supervisory ICs from Analog Devices for dual supply processors and FPGAs Mixed signal FPGAs from Microsemi operate in temp range of -55°C to +100°C Xilinx launches two new aerospace and defense qualified FPGA families Lattice releases PAC-Designer 6.0 design software Cyclone FPGA based industrial networking hardware kit and IP cores Lattice's new chip cuts cost and complexity of on-board power management New IP cores from Xilinx meets AMBA 4 specifications Synopsys ups its Synplify FPGA Synthesis Software With 4X faster runtime Video display interfaces suites from Lattice Semiconductorfor HDMI, DVI and 7:1 LVDS interface New signal processing board from BittWare based on Altera's Stratix IV FPGAs SmartFusion FPGA reference designs from Actel for motor control applications New design tool from National Semiconductor to optimize power supply for FPGAs New SmartFusion development kit from Actel Actel FPGAs with cryptographic cores offering DPA Resistance RoweBots' Linux compatible RTOS for Actel's SmartFusion FPGAs Windows 7 compliant Libero IDE from Actel ADI creates platform to bridge its ICs with Altera's FPGAs GE to release digital receivers and trancievers based on Xilinx' FPGAs New hardware programmer for Actel's flash FPGAs Altera introduces Stratix V FPGAs with support for RLDRAM 3 memory Radiation hardened FPGA from Xilinx enable last minute design changes Lattice Semiconductor introduces 90 reference designs for processor interface apps New Diamond software for FPGA design from Lattice New FPGA variant from Altera with 6.375-Gbps transceivers and 1.25Gbps LVDS support New development software for FPGA boards from Altera Virtex-6 and Spartan-6 FPGA reference designs from Xilinx support PCI Express Synphony HLS product from Synopsys now support Xilinx Virtex-6 FPGAs Altera's Stratix IV GT FPGA selected by NEC for handling 100-GbE traffic SerDes family from National Semiconductor for FPGA based designs H.264 reference design from CAST implemented on Altera/Xilinx FPGAs New 6Gbps dual SerDes IC from TI saves from costly FPGA with high-speed interface Low power demonstration boards by NXP using FPGA boards from Lattice BittWare readies roadmap for Altera's Stratix V FPGA based boards FPGA with ARM processor from Xilinx FPGA expansion board from TI for evaluating M2M parallel interface Microtronix's DMA Engine for Altera's FPGA Altera's new 28nm Stratix V FPGAs for silicon hungry applications Actel packs programmable analog blocks in its FPGAs called SmartFusion Altium's new FPGA board for embedded system designers without FPGA skills Instant deployment enclosures for Altium's NanoBoard 3000 FPGA development board Actel's high configurable DSP IP cores expand its RTAX-DSP FPGAs Actel and Pigeon collaborate to deliver FPGA IP for xTCA platform management apps Xilinx' IBIS-AMI FPGA transceiver models save simulation time Low cost Cyclone IV FPGA from Altera with high-speed serial comm. transceiver New Video Over IP add-on kit from Microtronix for FPGA development boards Low power Cyclone III LS FPGA development kit from Altera with security features Enhanced MAX II CPLD family from Altera for power sensitive apps New Spartan-6 FPGA from Xilinx with PCIe 1.1 specification for single-lane config FPGA based broadcast connectivity targeted design platform with DisplayPort IP core MDDR memory controller IP core supporting 200 MHz Cyclone FPGAs Stratix IV FPGA series with 820K logic elements Virtex-6 HXT FPGAs from Xilinx supports high-speed serial interface Actel's RTAX-DSP prototype FPGAs for aerospace systems New Virtex-6 FPGA evaluation kit with TI's power management technology Altera's RapidIO IP core qualifies RIOLAB device interoperability testing Actel's RTAX-DSP prototype FPGAs for space-flight systems Altera's 6.144 Gbps CPRI v4.1 IP Core for wireless applications Stratix III FPGAs can now operate in military temp range of -55 Deg C to +125 Deg C Altera and Xilinx announce FPGA devices with PCI Express transceivers Arria II GX FPGA development kit with high-speed transceivers Free MPM power management tool for FPGA design from Actel FPGA kit allows to synergize your DSP and embedded skills with least FPGA exposure Xilinx crypto powered Virtex-5Q FPGAs targets Indian aerospace and defense market 40 nm FPGA chips and development kits FPGA based free reference designs for display applications Altera's new Stratix IV GX FPGA member EP4SGX530 with 48 transceivers at 8.5 Gbps SoftConsole Version 2.2: new version of embedded system SDE from Actel for FPGAs FPGA based embedded systems development kit using Atera's Cyclone III family FPGA based embedded system development kit with analog function blocks Actel unveil low cost IGLOO nano FPGA starter kit New low cost Spartan FPGA family for low-power applications Altera released Quartus II software version 9.0 for FPGA and other prog logic ICs New Stratix and Arria FPGA families from Altera with integrated transceiver ispLEVER 7.2 FPGA design tool suite with advanced route algorithms FPGA design tool suite with advanced place and route algorithms Xilinx's Virtex-5 FPGA platform compliant with PCI Express version 2.0 Altera releases Quartus II software version 8.1 New version of FPGA family from Actel at price of below $1 ECP2M family development kit for fast PCI express design ATMEL's new family of FPGAs for space applications A new member is added to the SPEAr family of Customizable processor Altera releases Quartus II design software version 7.0 FPGA development kit for PCI Express and PlanAhead 9.1 software from Xilinx Virtex -4 FPGA development platform from Xilinx Lattice releases ispLEVER 6.1 service pack 1 Atmel’s new 1.8V Low Power CPLD with 32kbits of onchip EEPROM. I/O Optimized Spartan 3A FPGA family from Xilinx for video applications. Stratix III FPGA family from Altera has rich features Mathstar releases to market, the 2nd generation of Field Programmable Object Arrays (FPOA). Altera’s new FPGA based Audio/video kit provides support for triple rate Serial Digital Interface (SDI) and 3gbps SMPTE 424M standard.
New Products
LEON5FT and NOEL-V processor available for PolarFire FPGA
Gen2 Lattice Mach-NX FPGA for cyber security in range of systems
Open FPGA stack from Intel for hardware accelerators
Xilinx's reVISION to expand vision-guided machine learning applications
XJFlash enables 20x times faster FPGA flash programming
intoPIX announces availability Of 4:4:4 TICO FPGA IP-Cores
Xilinx Ships 16nm Virtex UltraScale+ Devices
SiP Device that Integrate HBM2 DRAM with FPGAs
FPGA video IP sub-system written C and C++ and leverages Vivado HLS
Flex Logix adds BRAM and DSP cores to its FPGA for SoC product
16nm FPGAs getting shipped
2.5 D FPGA and HBM solution, a 14x speed improvement over DDR4 DRAM DIMM
FPGAs get into open source virtual reality eco
FPGA designed in China with embedded flash memory
Microsemi's RTG4 FPGA development kit for satellite electronics
Programmable logic based voice interface for IoT wearables and mobiles
Lattice’s FPGA based sensor hub in Star 2 Smartphone from ZTE
An embedded engineer's delight: 16 nm SoC FPGA with 4 ARM Cortex A 53 cores taped out
eASIC reprogrammable technology with Intel Xeon processors for cloud sys
Vendor independent HDL code for image processing using FPGA/ ASICs
FPGA solution for reliable Video-over-IP
Xilinx beats Altera through more than Moore 3D path; FPGA can pack 10 ARM Cortex A9
Security in Silicon: Microsemi FPGAs feature PUF
Low cost Xilinx FPGA-based MIPI interface IP for embedded systems
FPGA based new ref design for IEEE 802.3 MDIO interface controllers
An FPGA in every mobile device, Lattice way of biz
2x performance improvement by using Altera 14 nm Stratix 10
20 nm Kintex FPGA from Xilinx achieve PCI express compliance
Enhanced FPGA software Vivado is 25% faster and support HL synth
Hardened IEEE 754-compliant floating-point operators in an FPGA
Hybrid Memory Cube Controller IP optimized for Virtex-7 FPGA
Fine-pitch copper bumps packaging for Arria 10 FPGAs
FPGA powered data plane programmability
Small form factor FPGAs from Microsemi
First 100G Ethernet functionality using hard IP on FPGA
Less than $1 priced 2.5x2.5mm MachXO3 FPGA is getting shipped
X-ES launches COTS XMC modules designed using Xilinx Virtex-7 FPGA
Altera releases the Quartus II software supporting 20 nm FPGA design
Software support for Xilinx' Zynq to design machine vision faster
Tabula' FPGAs to support of GigaChip Interface
OpenCL expanded to BittWare's Stratix V FPGA half-length PCIe x8 card
Xilinx ahead in the race by shipping 20 nm FPGAs
On-chip JESD204B ADC-FPGA signal integrity check tool from ADI
Kumamoto University adopts Aldec’s SoC and FPGA prototyping tool
FPGA based OpenVPX System for mil/defence electronics
JPEG2000-RAW IP-cores from intoPIX for FPGA
UHDTV JPEG 2000 cores supporting 28 nm FPGAs and SOCs
FPGA-based reference designs for media interface in mobiles
$24.99 USB FPGA development board/kit for mobile app development
Synopsys extends its FPGA tool support to Lattice Semiconductor
Agilent's FPGA kit for advanced sys design is supported Mentor's software
CSSP ICs from QuickLogic for connecting SDIO to USB
Altera to sample its 14nm FPGA test-chips by end-2013
SoC prototype from S2C based on Xilinx’s 28-nm Kintex-7 FPGA
Xilinx offering new release of real-time video engine to run on Zynq SoC
28nm FPGA SoCs from Xilinx for commercial avionics with certifiable solutions
FPGA fabric and ASIC core twined in a chip/module, a recipe for smart systems
New version of IO Checker from SynaptiCAD to verify FPGA I/Os
FPGA for mobile/portable electronics with footprint of 2.5x2.5mm
Configurable SoC tech from Toshiba as a low cost FPGA replacement
TI TMS320C6678 featured FPGA mezzanine card for mission critical apps
Altera launches FPGA reference design for bi-directional power grid automation
Gb speed 1024QAM PtP microwave modem IP based on Xilinx latest FPGAs
Artix-7 FPGA AC701 evaluation kit with 10+ reference designs
BittWare offers specially priced FPGA board for OpenCL development
WebPACK Edition of Xilinx' Vivado is available
FPGA IP core enables interoperability between Xilinx Virtex-6 and Altera Stratix IV/V
Defense grade 7-series FPGAs from Xilinx available in volumes from Q1 2013
High bandwidth 28-nm midrange FPGA unveiled by Altera
BittWare’s Stratix V 6U OpenVPX COTS FPGA board is now available
Altera releases broad set of 28 nm FPGA Dev Kits
FPGA module from CommAgility for wireless and general purpose applications
Radiation tolerant RTAX-S/SL FPGAs from Microsemi are QML qualified
Shipment of MachXO2 PLD from Lattice Semiconductor touches one million mark
Production release of mobileFPGA device families from Lattice
Deep trace debug feature in Synopsys' HAPS FPGA tool
Ready accessories from S2C speeds up FPGA prototype development
Reference design from Maxim to protect Xilinx' Spartan-6 FPGA
Achronix packs high-density logic in FPGA by leveraging Intel fab
28nm FPGAs supported by Barco Silex's JPEG 2000 IP
Latest Synplify FPGA synthesis tool from Synopsys accelerate runtime by 30%
Xilinx ships 28nm Virtex-7 X690T FPGAs
Altera delivers its 28-nm Production FPGAs
Riviera-PRO from Aldec enhanced for complex FPGA & ASIC coding
Active shutter 3D video camera using Lattice' low cost FPGA
Xilinx launches reference design material and kits for its 28nm FPGA chips
Lattice announces mini FPGA for image processing apps
Altera interoperates its 28-nm FPGA with PLX's PCIe Gen3 switch
Lattice continues to play its role as cost-optimized FPGA solution vendor
Synopsys updates its FPGA prototyping tools to work faster
Lattice releases Windows-based ispLEVER Classic 1.5 design software
Bandwidth Engine FPGA companion kit and characterization kit from MoSys
Altera launched SoC FPGA chips with ARM Cortex-A9 MPCore processor
iPhone app by Xilinx for FPGA designers
Synopsys enhances its FPGA tool to reduce design errors
Micro/sys uses Xilinx Spartan-6 FPGA to build COTS SBC
Altera ships 28-Gbps-enabled FPGA for next-gen 100G systems
Altera's first 28-nm FPGA development kit
IP and a reference design for IEEE 1588 PTP on Microsemi's cSoC
Unclonabe security IP for flash-based FPGAs from Microsemi
SmartFusion chips from Microsemi supports FreeRTOS
Radiation hardened space-grade Virtex-5QV FPGA from Xilinx in production volumes
2.5 x 2.5mm measuring micro plastic BGA packaged mobile FPGA chips for mobile apps
BittWorks II Toolkit from BittWare for signal processing apps using FPGA
Probe Visualizer for verification and debug of FPGA-based prototype boards
The new floating point co-processor from BittWare for FPGAs
FPGA development kit for $99 from Lattice Semiconductor
Altera sampling its 28nm FPGA packing 3.9 billion transistors
Improved FPGA module with USB2.0 interface for system prototyping
HD video surveillance chipset jointly by Altera, Apical, AltaSens
Xilinx sampling its 7 series 28nm FPGAs
FPGA development learner board for embedded system applications
Xilinx updates its FPGA software 'ISE design suite' to support 7 series
Tools from Synopsys and Mentor for Xilinx' FPGA design synthesis
FPGA platform for 100G OTN both by Xilinx and Altera
ARM Cortex A9 and FPGA in single chip for embedded board design
Genode version 11.02 supports softcore CPU in FPGA based SoC chips
Update on the kits and boards for FPGA based DSP board design and development
Dual voltage supervisory ICs from Analog Devices for dual supply processors and FPGAs
Mixed signal FPGAs from Microsemi operate in temp range of -55°C to +100°C
Xilinx launches two new aerospace and defense qualified FPGA families
Lattice releases PAC-Designer 6.0 design software
Cyclone FPGA based industrial networking hardware kit and IP cores
Lattice's new chip cuts cost and complexity of on-board power management
New IP cores from Xilinx meets AMBA 4 specifications
Synopsys ups its Synplify FPGA Synthesis Software With 4X faster runtime
Video display interfaces suites from Lattice Semiconductorfor HDMI, DVI and 7:1 LVDS interface
New signal processing board from BittWare based on Altera's Stratix IV FPGAs
SmartFusion FPGA reference designs from Actel for motor control applications
New design tool from National Semiconductor to optimize power supply for FPGAs
New SmartFusion development kit from Actel
Actel FPGAs with cryptographic cores offering DPA Resistance
RoweBots' Linux compatible RTOS for Actel's SmartFusion FPGAs
Windows 7 compliant Libero IDE from Actel
ADI creates platform to bridge its ICs with Altera's FPGAs
GE to release digital receivers and trancievers based on Xilinx' FPGAs
New hardware programmer for Actel's flash FPGAs
Altera introduces Stratix V FPGAs with support for RLDRAM 3 memory
Radiation hardened FPGA from Xilinx enable last minute design changes
Lattice Semiconductor introduces 90 reference designs for processor interface apps
New Diamond software for FPGA design from Lattice
New FPGA variant from Altera with 6.375-Gbps transceivers and 1.25Gbps LVDS support
New development software for FPGA boards from Altera
Virtex-6 and Spartan-6 FPGA reference designs from Xilinx support PCI Express
Synphony HLS product from Synopsys now support Xilinx Virtex-6 FPGAs
Altera's Stratix IV GT FPGA selected by NEC for handling 100-GbE traffic
SerDes family from National Semiconductor for FPGA based designs
H.264 reference design from CAST implemented on Altera/Xilinx FPGAs
New 6Gbps dual SerDes IC from TI saves from costly FPGA with high-speed interface
Low power demonstration boards by NXP using FPGA boards from Lattice
BittWare readies roadmap for Altera's Stratix V FPGA based boards
FPGA with ARM processor from Xilinx
FPGA expansion board from TI for evaluating M2M parallel interface
Microtronix's DMA Engine for Altera's FPGA
Altera's new 28nm Stratix V FPGAs for silicon hungry applications
Actel packs programmable analog blocks in its FPGAs called SmartFusion
Altium's new FPGA board for embedded system designers without FPGA skills
Instant deployment enclosures for Altium's NanoBoard 3000 FPGA development board
Actel's high configurable DSP IP cores expand its RTAX-DSP FPGAs
Actel and Pigeon collaborate to deliver FPGA IP for xTCA platform management apps
Xilinx' IBIS-AMI FPGA transceiver models save simulation time
Low cost Cyclone IV FPGA from Altera with high-speed serial comm. transceiver
New Video Over IP add-on kit from Microtronix for FPGA development boards
Low power Cyclone III LS FPGA development kit from Altera with security features
Enhanced MAX II CPLD family from Altera for power sensitive apps
New Spartan-6 FPGA from Xilinx with PCIe 1.1 specification for single-lane config
FPGA based broadcast connectivity targeted design platform with DisplayPort IP core
MDDR memory controller IP core supporting 200 MHz Cyclone FPGAs
Stratix IV FPGA series with 820K logic elements
Virtex-6 HXT FPGAs from Xilinx supports high-speed serial interface
Actel's RTAX-DSP prototype FPGAs for aerospace systems
New Virtex-6 FPGA evaluation kit with TI's power management technology
Altera's RapidIO IP core qualifies RIOLAB device interoperability testing
Actel's RTAX-DSP prototype FPGAs for space-flight systems
Altera's 6.144 Gbps CPRI v4.1 IP Core for wireless applications
Stratix III FPGAs can now operate in military temp range of -55 Deg C to +125 Deg C
Altera and Xilinx announce FPGA devices with PCI Express transceivers
Arria II GX FPGA development kit with high-speed transceivers
Free MPM power management tool for FPGA design from Actel
FPGA kit allows to synergize your DSP and embedded skills with least FPGA exposure
Xilinx crypto powered Virtex-5Q FPGAs targets Indian aerospace and defense market
40 nm FPGA chips and development kits
FPGA based free reference designs for display applications
Altera's new Stratix IV GX FPGA member EP4SGX530 with 48 transceivers at 8.5 Gbps
SoftConsole Version 2.2: new version of embedded system SDE from Actel for FPGAs
FPGA based embedded systems development kit using Atera's Cyclone III family
FPGA based embedded system development kit with analog function blocks
Actel unveil low cost IGLOO nano FPGA starter kit
New low cost Spartan FPGA family for low-power applications
Altera released Quartus II software version 9.0 for FPGA and other prog logic ICs
New Stratix and Arria FPGA families from Altera with integrated transceiver
ispLEVER 7.2 FPGA design tool suite with advanced route algorithms
FPGA design tool suite with advanced place and route algorithms
Xilinx's Virtex-5 FPGA platform compliant with PCI Express version 2.0
Altera releases Quartus II software version 8.1
New version of FPGA family from Actel at price of below $1
ECP2M family development kit for fast PCI express design
ATMEL's new family of FPGAs for space applications
A new member is added to the SPEAr family of Customizable processor
Altera releases Quartus II design software version 7.0
FPGA development kit for PCI Express and PlanAhead 9.1 software from Xilinx
Virtex -4 FPGA development platform from Xilinx
Lattice releases ispLEVER 6.1 service pack 1
Atmel’s new 1.8V Low Power CPLD with 32kbits of onchip EEPROM. I/O Optimized Spartan 3A FPGA family from Xilinx for video applications. Stratix III FPGA family from Altera has rich features Mathstar releases to market, the 2nd generation of Field Programmable Object Arrays (FPOA).
Altera’s new FPGA based Audio/video kit provides support for triple rate Serial Digital Interface (SDI) and 3gbps SMPTE 424M standard.