EUV is ready for mass production of 7 nm and 5 nm semiconductor chips

Date: 23/07/2017
Finally the wait for mass production capable EUV is over with the successful demo of 250W exposure-power EUV lithography equipment from the only vendor ASM Lithography. Though ASM has already supplied good number of EUV lithography equipment to leading semiconductor fabs but they are of lesser power rating. With the announcement of 250 W capable EUV to process 125 wafers per hour, ASM is preparing to supply them to leading semiconductor fabs with volume production scheduled to happen in 2019.

Although many leading semiconductor fabs including TSMC and Samsung are still using Deep Ultra Violet (DUV) for 7 nm chip production, but some of the fabs are ready to migrate to EUV with mixed use of both the DUV and EUV for 7nm and sole EUV for 5 nm. In sync with this trend, ASM launched TWINSCAN NXE:3400B EUV lithography system and the new TWINSCAN NXT:2000i DUV immersion system supporting methods such as redesigned leveling and alignment sensors. This enables DUV/EUV cross-matching on-product overlay of 2.5 nm that addresses a mix-and-match production environment for the most advanced nodes.

ASM said in it release "TWINSCAN NXE:3400B and YieldStar 375F metrology tool are currently shipping to logic and memory customers worldwide. The HMI eP5 is expected to ship in the second half of this year. The TWINSCAN NXT:2000i has an ongoing early access program that includes key hardware innovations as field upgrades. The first TWINSCAN NXT:2000i that is completely built in our factory is expected to ship in 2018."

At 5 nm, there is also changes from finFET to gate all around (GAA) and nano wires which really requires EUV to ensure yield as well as reduction of mask costs. IBM has used EUV to produce its 5nm NW/NS chips.

give name


Picture: IBM Researchers using EUV litho for 5nm NW/NS chips (Source: Suny)

Along with ASM lithography, lot of other innovative companies have worked in the progress of EUV. A company called Trumpf has supplied carbon dioxide lasers to ASM litho. Zeiss is the optic specialist who has worked with ASM in EUV lithography.

Inpria is making photo-resist material for EUV. Inpria is a spin-out from Oregon State University has received $23.5 million in a series B round of venture funding supported by investors such as Intel Capital, Samsung Ventures, and Applied Ventures. Inpria to use the new funds to complete its pilot manufacturing and start commercial production of its photoresists, which are based on tin oxides instead of polymers. Element Six, and II-VI are also the companies working in the EUV eco.

ASM lithography and some other semiconductor equipment companies involved in EUV have posted double-digit revenue growth in recent times. Not only EUV even the overall semiconductor equipment market is witnessing the best market growth since many years. The end market of semiconductor equipment, which is basically semiconductor chip market is also expected to see highest growth rate since 2009 and is expected to reach US dollar 400 billion in 2017. If there is no memory-market bust, 2018 will also look to be good for complete semiconductor manufacturing eco.

With all this development, still cost is an issue, if you go for DUV, the mask costs makes your 7nm VLSI chip design nearly 8X more than the 28 nm design. It may approximately cost around US$ 400 million for a 7 nm SoC chip, compared to 50 to 75 million for a 28 nm chip. With the EUV lithography that cost fall significantly. EUV lithography equipment costs around US$ 100 million.

Intel is the major buyer of EUV equipment and roughly followed by Samsung, TSMC and Globalfoundries.

ASML shared these below revenue and sales data in its recent quarterly financial release:

Industry Strength and EUV Demand Drive Expected Sales Growth of About 25 Percent in 2017 - Current Business Trends Likely to Continue into 2018.
Q2 (2017) net sales of EUR 2.10 billion, gross margin 45.0 percent
8 additional EUV orders in Q2, underscoring that adoption for high-volume manufacturing reached inflection point.
EUV backlog grows to 27 systems valued at EUR 2.8 billion
ASML expects Q3 2017 net sales around EUR 2.2 billion and a gross margin around 43 percent

Outlook for next quarter by ASM: For the third-quarter of 2017, ASML expects net sales around EUR 2.2 billion which includes approximately EUR 300 million EUV revenue.

History of EUV: The leading semiconductor research agencies and companies such as Intel, AMD, Micron, and IBM have started a program called EUV LLC in the late 90s. And it was expected that EUV technology will be ready by 2004, though long-delayed now it is available for the nano tech industry so that the scaling will continue for at least two more nodes. The journey of EUV is well explained in an online PowerPoint presentation available at website:
http://www.sematech.org/meetings/archives/litho/euvl/20021015/Oral%20presentations/Oral%20111-PSilverman.pdf

Author: Srinivasa Reddy N
Header ad