HomeProductsProducts Details

New timing closure tool from Synopsys speed up design while plugging the leakage

Date: 25/03/2013
Synopsys has announced a new configuration of its static timing analysis and signoff product PrimeTime ADV, which includes advanced leakage recovery and will incorporate physical-aware signoff-driven engineering change order (ECO) guidance technology. This tool work in conjunction with the latest Synopsys' IC Compiler solution.

PrimeTime ADV addresses the complex timing closure need of VLSI design for FD-SOI and 3-D chip fabrication technologies, which need more ECO iterations.

"Strong ECO support with tight links between signoff timing and place and route technologies has emerged as a key requirement for our next-generation 28 nm FDSOI designs to achieve timing closure on schedule," said Indavong Vongsavady, director, Central CAD & Design Solutions at STMicroelectronics Technology R&D. "PrimeTime ECO's scalability, with its lightweight infrastructure and its aggressive leakage recovery algorithms, tightly coupled with IC Compiler's versatility to implement the ECO guidance, is the optimal approach for advanced timing closure."

Synopsys explains: With knowledge of the physical environment gained in PrimeTime, improved ECO choices can be made and physical-aware ECO guidance will be provided to the place and route tool. IC Compiler uses enhanced guidance to make more informed placement and routing decisions, and to minimize the physical impact of the ECO, which results in less iterations. Complementing IC Compiler's low power and leakage optimization capabilities, PrimeTime ADV extends leakage recovery to signoff analysis, enabling lower power consumption while preserving signoff timing across multiple mode and process corner scenarios, says Synopsys.

"Increasing design functionality, timing and power closure, and rigid tapeout schedules are key challenges for signoff at new process geometries," said Jacob Avidan, vice president of engineering for static timing products at Synopsys. "PrimeTime ADV provides a leap forward in designer productivity, enabling the lowest leakage power and highest frequency designs to meet today's aggressive design schedules."

Availability: PrimeTime ECO leakage recovery is available now. Physical-aware ECO will be available in the June 2013 PrimeTime release.