electronics engineering Herald                                          component selection
Home | News | New Products | India Specific | Design Guide | Sourcing database | Student Section | About us | Contact us | What's New
Processor / MCU / DSP
Memory
Analog
Logic and Interface
PLD / FPGA
Power-supply and Industrial ICs
Automotive ICs
Cellphone ICs
Consumer ICs
Computer ICs
Communication ICs (Data & Analog)
RF / Microwave
Subsystems / Boards
Reference Design
Software / Development kits
Test and Measurement
Discrete
Opto
Passives
Interconnect
Sensors
Batteries
Others


New Products

Date:12th Nov 06

            Stratix III FPGA family from Altera has rich features

Say, you are walking in a big house/villa where lights go full-bright around your passing areas and rest in dim-mode to save power; this is exactly what altera has done in this new 65nm family of FPGAs called Stratix III to save power. The power of each block can be programmed either as high or low power based on it's usage. The other interesting features packed in this family are,

Up to 338,000 basic logic elements; quite a high density compared to any other in the market.
300 times more MAC performance compared to the latest DSP available in the market.
Tamper proofed and blocked from reverse engineering by supporting 256 bit Advanced Encryption Standard (AES) with volatile and non-volatile security keys.
The core voltage is selectable at 1.1 V for high performance and 0.9V for low power consumption.
Quartus II software v6.1 is added with advanced design features such as time quest timing analyzer, top-down and bottom-up incremental compilation and multiprocessor support.
EDA vendors Aldex, Magma, Mentor Graphics, and Synplicity support Staratix III family.

Availability: Sampling in 3Q07, but the customers are encouraged to start their Startix III designs now itself using Quartus II design software v6.1.
Price: Each $549.


 
Events
Advertise
Send News
Send Article
Feedback
eeherald.com
India Search
electronic components
Home | News | New Products | India Specific | Design Guide | Sourcing database | Student Section | About us | Contact us | What's New
©2006 Electronics Engineering Herald