Wireless charging reference designs widen application-net
China based Shannon launches first 12.8TB PCIe SSD
Laser diode for 100G CWDM4 data center is stable over wider temperature
DSP processor offer 4x higher performance and 98% less power than ARM Cortex M4-F
13.5mm x 13.5mm sensor board has multiple sensors and a microcontroller
High-density stackable 35-A synchronous DC/DC buck converter from TI
Wi-Fi module evaluation board from GainSpan for IOT
UI in smart phones: new finger print sensor and Ultra sonic touch interface
Highest brightness red laser for large size projectors
Display Link and Targus launched first universal dual-video docking station
Bluetooth 5, the new diffrentiator for IoT SoCs
A video interface chip extend cable length up to 15 m from camera to display
ADCs support lesser signal chain power
New LoRa multi-band picocell ref design for number of indoor applications
A new method by Fujitsu enables 2x response writing speed in flash storage
Cryptographic controller MAXQ1061 for embedded electronics
Schotty diodes from SemiGen features small junction capacitance
Panasonic develops IPS LCD with 600x higher contrast ratio
Maxim embeds mutiple security features in its new MCU MAX32560
Exclusive cyber-security proofing modules from STMicroelectronics
Bluetooth SiP Module targets miniature IoT gadgets
IoT gateway ref design based on Linux running on NXP's i.MX processor
ThreadX SMP for ARMv8-A 64-bit pro processor family
PCIe 4.0 supporting optical cable assemblies by Samtec
MoSys' MSH420 MUX PHY IC is interoperable with IDTs 10xN RXS2448 RapidIO switch.
Xilinx, has unveiled details for new 16nm Virtex UltraScale+ FPGAs
TI unveils nanopower operational amplifiers
Market's smallest bluetooth 4.2 SoC packs 32 bit CPU and can work standalone
TDA2x SoC powered development board for autonomous vehicle
Toshiba's Bluetooth SoC consume only 3.2mA for rx and 3.5mA for tx
High resolution audio evaluation board for designing hd audio products
MCU with IEEE 802.15.4 and Bluetooth LE target mutiple IoT apps
QFN-like packaged GNSS module tracks three constellations simultaneously
TI launches 5 new USB Type-c devices
Lin Tx, 32-bit ARM Cortex M0+ MCU, and touch hardware in one package
Mitsubishi to release 200 CC-Link IE Field Network Basic compatible devices
Out-of-box-ready wireless charging system for use in multiple applications
Congatec has developed credit card sized computer module
PIN diodes feature fast switching speeds of 3 ns
Enea to acquire Qosmos
Biz card size board from Fujitsu compliant with Linaro's 96Boards specs
IoT devices monitors health of firefighters to ensure safety
WXGA color TFT-LCD modules with projected capacitive touch
SUSE to distribute 64 bit ARM architecture software
Samsung launches first 8GB LPDDR4 DRAM package
ARM launches Cortex-M23 and M33 cores for secured cloud based IoT with
Arteris supports ARM AMBA 5 AHB5 in its FlexNoc and Ncore
Power semiconductor tester support GaN and SiC devics
ams announce Multi-Project Wafer schedule for 2017
Cloud connected gateway to prevent cyber attack in networked cars
Single chip power bank charging IC supports custom charge/discharge profiles
Samtec backplane connector optimized for speeds up to 28 Gbps
Dual-port quad deserializer hub compliant with the MIPI CSI 2
CAN FD test support available on Tek's MDO3000 and MDO4000C scopes
Akrion achieve a process success for 450mm semiconductor tech
Canonical and ARM to offer Ubuntu OpenStack and Ceph for 64-bit ARM servers
Analog Front-End analyzes power supply pollution
DUSB2-ULPI IP for hardware implementation of full/high-speed peripheral controller
Crypto enhanced ARM Cortex M7 microcontrollers from STMicroelectronics
SOC chip, software, and hardware development kit for wearable-design by MediaTek
76-81 GHz CMOS mm-wave signal generator by Fujitsu for Automotive Radar
POL supporting high density DC/DC converter for small cell stations
Analog Front End IC for ECG
ST and WiTricity to develop ICs for wireless charging of metal body phones
Space-saving 3G/2G dual-mode IoT Module for wearables
Low noise MEMS for structural defect-diagnosis through vibration sensing
XJFlash enables 20x times faster FPGA flash programming
Anritsu launched world's first frequency selectable RF power measurement
Dassault system launches SOLIDWORKS 2017
Maxim’s Pocket IO PLC dev platform offers real time intelligence
e2v and GaN partner to deliver GaN power products to Aero/def sector
Affordable FPGA by Xilinx for IoT and embedded applications
Multi-function JTAG board tester fits into ECT fixtures
6th gen Intel Core i7/ i5/ i3 fanless embedded computers from Adlink
Aricent tech combines LTE and Wi-Fi tech to offer unified wireless network
Robust GPS time and frequency System in single enclosure
CAN FD MCU for bodycontrol in cars
Open source artificial intelligence tool 'Braid' by a start-up
A narrowband wireless module for long distance IIoT
Qorvo 's ZigBee 3.0 certified Smart Home Gateway saves dev Time
Octasic licenses Arteris FlexNoC IP for Industrial/Tactical Systems
Advantech releases Encoder modules 4K/UHD built on Ambarella tech
Solar PV can deliver additional 30% power with Maxim’s Cell-String Optimizer
IOT Kit enables embedded boards with instant cellular IoT connectivity to cloud
Medical-grade 650W AC-DC PSUs in U-channel, open-frame and enclosed
Mass produced LED exceeding standard brightness
Less than sugar grain sized LDO delivers 200 mA
Fujitsu develops low power consumption tech for 5G
IIoT reference design helps in designing secure sensor to cloud data chains
Enhanced HomePlug AV2 under development supporting 4K and VR
Toshiba's 50Mbps photocouplers offer better isolation specs
Linear Tech unveils LTC4013 for charging Lead Acid as well as Li-Ion batteries
Renesas Synergy platform is made available in Asia-Pacific
First flexible crypto-processor with adjustable security level
65 Inch 4K multi touch display with ultrafine metal mesh design for signage
EnSilica teams with BaySand to provide ASIC UltraShuttle-65 MPW
Sensors for measuring heart pulse rate and blood oxygen in wearables
14-Bit 125MHz digital-to-analog converter from Datel
IOT SOC ICs launched exclusively for India's smart home market
ISDB-S3 compatible demodulator chip for 4K and 8K satellite digital broadcasts
Tata Elxsi develops a fast booting and fast start-up automotive Linux for infotainment
VLSI design automation software loop faster and faster for any last bug
Silvaco released CAN FD IP core
A reference design for industrial IOT sensor data acquisition
Free Low-Layer APIs software from ST supports better access to hardware
Voice control your embedded systems with 20 lines of software code
Analog IC for interfacing electrochemical gas sensor
VLSI design: New version PowerDRC/LVS 2.2.1 released by POLYTEDA
24V transient protection array from Semtech defends against 10A surge
QuickLogic' EOS S3 sensor processing SoC in production
Quad channel linear EML driver for 28 Gbaud PAM-4
Brushless DC Motor driver smartly control the speed of cooling fans in notebooks
ToF ranging sensors for gesture, proximity and many more applications
Free STM32 USB-C and PD Middleware Stack from STMicroelectronics
Mil-grade voice recognition tech and AI chip by x-NASA headed company
MoChi interfaced virtual SOC support CPU and I/O virtualisation
Large Current "Power Choke Coil" for automotive ECUs
Thinner substrate material for semiconductor chip packaging
60GHz wireless mesh chipset for backhaul and wireless access points
Higher ripple current, lower impedance conductive aluminum polymer capacitors
5A capable 3-ch power IC for FPGA and SoCs
SiC power semiconductor devices getting into mainstream
Flexible configurable cache coherent tech Ncore from Arteris
Panasonic launches switch relay for smart home systems
STM32 MCU powered Arduino board for maker community
High MIPS processor systems exclusively for autonomous self driving vehicles
Wireless power charging design for less than 3W wearables and medical devices
Smart home enabling IoT switch Wemo connect to Nest app and devices
Craving for memory, here is 256 GB MicroSD card from Samsung
Clamp meters and multimeters from FLIR integrated with infrared imaging feature
New chips from Realtek enhance datarate on twisted pair and coax to over 1Gbps
Four pair cable instead of 28 pair for connecting to full-HD LCD
Allegro PCB design software enhanced to support speedier flexible board design
Online circuit simulation tool for SiC devices from Wolfspeed
SystemC AMS released by IEEE-SA as IEEE 1666.1-2016
4ch Power Amplifier IC for car audio prevents speaker burnout
Flexible transparent rugged cloth RFID withstands multiple washes and ironing
Maintenance free solid-state battery free of metallic lithium
Can Quark from Intel create 8051 like usage history in microcontroller market?
Thermal imaging camera core with built-in video processing chip
Free software libraries for motion application development
Mitsubishi Electric to sample new power modules with 7th gen IGBT
Robot smart phone which can walk and also work as a projector
IDT's DDR4 memory interface devices qualified for DIMMs on Intel Xeon
Thermal imaging-based traffic sensor to dynamically control traffic signals
Socionext' medical device "viewphii" also does ECG and blood pressure monitoring
Spectrum's Embedded Server option allows Digitizers to be run remotely
MKR1000 available in the Store, new Arduino Project Hub and Iot Arduino website
HDX read-only RFID transponder from IXYS
3.3V MIL-STD-1553 Transceiver/Transformer Device from DDC
Zynq FPGA based sys-on-module from Trenz Electronic
Nano-Pitch I/O 80-Circuit Interconnect
Automotive 77GHz radar chip from ST
Cloud test tool VEVATO from Wipro demonstrated on WindRiver Titanium Server
SmartDV releases Verification IP supporting platform-independent simulation Acceleration
ST's new microcontrollers cyber secure car systems
Energy efficient STM32L0 MCU goes for volume production
A new OS for IoT
AdaCore releases SPARK Pro 16
Mitsubishi Electric develops string-searchable encryption software
Arteris announces 9 new IP licensees in 2015
Low-Voiding solder paste from Indium
intoPIX announces availability Of 4:4:4 TICO FPGA IP-Cores
EV Group joins IRT Nanoelec 3D Integration Program
iWave launches Renesas RZ/G1M based Qseven SOM
EPC's dev board with 50 A, 1 MHz capability for PoL applications
Xilinx Ships 16nm Virtex UltraScale+ Devices
Low power consumption color sensor for display applications from EVERLIGHT
Fujitsu Semiconductor renamed as Fujitsu Electronics
Genode OS Framework 15.11 released
New version MEMS+ 6.0 Platform for MEMS/IoT design from Coventor
Synaptics sampling Natural ID fingerprint authentication tech for thicker glass
XJTAG releases of Ver 3.4 of its development system
Molex Ultra-Fit power connectors available from RS Components
B&K Precision announces two new handheld battery capacity analyzers
CAN FD and programmability featured Leaf CAN interfaces
Oscilloscope family from R&S for development of embedded designs
iWave presents Qseven Module for Renesas' RZ/G1M MPU
Express Logic' ThreadX RTOS supports ARMv8 64-Bit processors
SiP Device that Integrate HBM2 DRAM with FPGAs
Secure Web-Enablement for Cortex M7
Undo Software to deliver support for 64-bit ARM Devices
Complete chipset for CWDM and PSM4 applications in the datacenter
ST's new super-junction power MOSFETs offer Vds breakdown voltage of 1500V
New 300 kHz Bench LCR Meter from B&K
Semiconductor IP fingerprinting tech by Ipextreme
DDC-I's Deos RToS scales from Intel Atom to Core I7
FPGA video IP sub-system written C and C++ and leverages Vivado HLS
A higher speed and higher resolution DLP chipset from TI
High-temperature SCRs from ST enhance reliability in motorcycles and other apps
EM Micro's Bluetooth Smart beacons achieve Eddystone certification
LED Flicker mitigating new 2 MP CMOS image sensor for automotive
Fully scalable D32PRO CPU core compared to ARM Cortex M0-M3
Flex Logix adds BRAM and DSP cores to its FPGA for SoC product
Plessey develops CSO with 10 degree emission angle for its GaN-on-Si LEDs
16nm FPGAs getting shipped
60V Power MOSFETs for sync rectification
Fully featured and discovery dev boards for STM32L4 MCUs
3D model programme from RS Components exceeds one million downloads
Controller chips for 3- to 14-cell Li-ion batteries
HD HEVC set-top box ICs from ST supports scalable hardware and software
2.5 D FPGA and HBM solution, a 14x speed improvement over DDR4 DRAM DIMM
Free AdaCore GNAT GPL 2015 for Raspberry Pi 2
Now you also have a free electrical design software from RS
DC-to-constant current drivers support dimming in smart connected LED lamps
The battery simulator and a power supply in one system
Build your RF cable assembly online and buy
AIR-IQ from Broadcom ensures reliable WiFi in dense areas
STM32 ARM Cortex M firmware from ST compliant with MISRA C and ISO/TS16949
GaN bias controller/sequencer module from MACOM available at Richardson RFPD
Cypress' PSoC 4 and PRoC BLE chips in micro-ball CSP package and industrial temp
STB SoCs from MStar features forensic watermarking of 4K video content
SCE-MI 2.3: More flexibility in VLSI verification flows
New power MOSFETs from ST gets closer to ideal switch
IEEE 1588v2/PTP Grandmaster Clock Module for nanosecond sync
New MCU boards at Mouser: Discovery for STM32F7, Curiosity from Microchip
FPGAs get into open source virtual reality eco
FPGA designed in China with embedded flash memory
Band-pass Filter for V2V and V2X automotive RF systems
EtherCAT slave controller IC with real-time response support
Xilinx offering LDPC error correction IP for NAND flash use in cloud
Microsemi's RTG4 FPGA development kit for satellite electronics
Arrow Electronics to sell eInfochips's snapdragon600 based IoE kit
STM32F427VG microcontroller based Netduino 3 is available from Mouser
DisplayPort to HDMI 2.0a converter ICs with on-chip jitter cleaning
Infineon’s TriCore users get major update to the TASKING compiler
USB 3.0 camera reference design kit for wide range of applications
STM32 lead in the low power 32-bit microcontroller market on multiple parameters
BroadR-Reach automotive Ethernet ICs from NXP
1Mb no-battery serial SPI nvSRAM from Cypress and Anvo-Systems
NFC evaluation board from ST for Wearables, IoT and ID apps
20x faster time-based RTL power analysis by Cadence
ST unveils DOCSIS 3.1 chipset made using 28nm FD-SOI
IEEE 1588v2/PTP Grandmaster for clock and time sync to multiple slaves via Ethernet
TI adds two more PMBus DC/DC converters with frequency sync feature
256Gb 3-D NAND chip from Toshiba is available from September in samples
Low-cost VGA image sensor for consumer applications
AEC-Q100-qualified 2Mbit EEPROM for engine monitoring and safety applications
Deserializer and Power ICs from Maxim for ADAS systems in automotives
Programmable logic based voice interface for IoT wearables and mobiles
64-bit embedded application development Kit for HeliX 2 SoC
Security processor with 288 purpose-built security cores for cloud
USB charging ICs manage voltage drop due to resistance of the cable
ITTIA DB SQL supports Micrium uC/OS-II and III
Silver Spring Networks uses CEVA processor IP in its IoT platform
32-bit ADCs from TI offers high-resolution at low noise and offset drift
Small size 16M CMOS image sensor from Toshiba for smart mobiles
Tunable capacitors by ST for smartphones feature increased tuning ratio
ADAS starter kit by Renesas
High intensity LED and LED driver for designing cost-effective LED street lamps
CAN ESD protection devices compliant with CAN-FD, LIN, FlexRay, MOST, and SENT
Near-zero noise, less CPU-dependent stepper motor controller from Trinamic
Version 8.2 of ScanExpress Boundary-Scan Tool Suite from Corelis
Electronic component ECAD models available from RS DesignSpark website
SBC for Hi-Rel command and control applications
Automotive high-side drivers from ST for stop-start vehicles
Analog front end for multiple sensor interfacing to MCUs or FPGAs
Cspotter from Cyberon optimised for Tensilica Fusion and HiFi DSPs
Arduino Yun Mini available from RS Components
CSP packaged 1-Mbit Serial FRAM for wearable electronic devices
Melexis offering ToF evaluation kit for gesture recognition in automotive
Less power consuming 65 nm semiconductor fab process by Toshiba
Latest version of semiconductor virtual fabrication software
STM32F7 ARM Cortex M7 32 bit microcontroller is immediately available from RS
Lattice’s FPGA based sensor hub in Star 2 Smartphone from ZTE
ZigBee and Thread supporting chip for STB, gateways and IoT
Mitsubishi launches 8.4 and 12.1-inch XGA TFT-LCD modules for industrial electronics
An embedded engineer's delight: 16 nm SoC FPGA with 4 ARM Cortex A 53 cores taped out
IoT wireless I/O modules for data gathering
Compact UHF transceiver from SATEL Oy meets present days stds.
The first ARM Cortex M7 microcontroller in volume production
30W, 1x1 inch DC-DC converters in shielded metal case
AEC-Q101 qualified ESD protection diodes for industrial/automotive bus interfaces
Main processor, security co-processor and crypto in single MCU chip
Two sources of red laser diode for projector displays
Mitsubishi installs all-SiC semiconductor power modules in a bullet train in Japan
Pin-head size trenchFET n-channel MOSFET for mobile phones
PN7120 from NXP NFC-enables smart home IOT devices with secure connectivity
Passive Infrared sensor for reliable intruder detection security system
700 V High-Voltage ICs optimized for high-rel power supply application
All-SiC semiconductors achieve 40% power savings in traction application
SCADE and Deos RTOS integrated for safety critical applications development
Renesas joins the bandwagon of IOT platform vendors
The customizable turnkey private label hardware platform for VoIP ATA/Gateway
3D vision sensor in cars does driver monitoring and gesture sensing
5x power efficient SHARC DSP SOCs from ADI
LTE Advanced and 5G CPRI v6.1 Switch Reference Design by eASIC and Comcores
Resettable electronic fuse for plug and play consumer electronics
OmniVision, POC and Fujikura to develop 160K CMOS imaging sensor module
MegaChips shipping its MCDP28 DisplayPort1.2 and HDMI2.0a bridge device
Soft-termination MLCC chip capaacitors with X8R for automotive
Samsung expands COB LED product line
1200V IGBTs with lowest saturation voltage VCE(sat)
Linux based Freescale SoC powered SoMs for Industrial IoT Gateways
VLSI design: Powerful DSP and RF IPs essential for smart audio SoC design
Small size relays for solar PV power-conditioner application
Medtronics gets closer to artificial pancreas and also launch mobile app for diabetics
Compact power modules: 20A rated from Exar and a 50A rated from Intersil
DDC introduce high temp and high accuracy Resolver-to-Digital converters
SSD controllers for TLC and 3-D NAND flash
ARM news this week: IP tooling and IOT subsys IP
Fujitsu added a new projected capacitive touch panels
GCT's advanced LTE Chip powers new Modacom 4G Router in Japan
OpenVX 1.0 spec compliant IP for vision processing
Dialog's 98% efficient PMIC for notebooks shrinks space by 50%
Cavium's OCTEON based Computer-On-Module for mil/aerospace
Bluetooth remote control ref design supports touch mems, and microphone I/ps
Dilute nitride based high efficiency 4-J Solar PV cell by 2016, by Silicon Junction
Version 6 of SoC VLSI design debugging tools from Concept
2M pixel automotive video processor with a dynamic view support
API Technologies expands cable offering
Freescale expands I.MX app processors with three more additions
DC-DC converter module for 28nm FPGAs scalable up to 144A
Optocouplers free PWM controllers for home-appliance' power electronics
C-based algorithms for sensor data processing
Non volatile memory for medical device withstands harsh gamma radiation
HEVC Main 10 compliant single-chip IC for 4K media playback
Wideband 3GHz to 8GHz mixer improves upconversion performance
Synchronous SRAM with on-chip error-correcting code
Mentor enhances its FloTHERM with more features
60V sync buck controller suggested as better option than DC/DC module for PoL
Digital TV SoC from Toshiba use Arteris FlexNoC Fabric IP
Synopsys' VDK available for Renesas' RH850 MCUs
Xilinx' new SDAccel dev environment release offers 25X better performance/watt
Hybrid super capacitors by researchers store 4-6 times more energy
X-FAB is offering sensitive UV photo diodes made using silicon foundry
GaN-on-Silicon devices on 200mm wafers jointly by X-FAB and Exagan
Mems oscillator corners quartz oscillator in multiple performance parameters
Power inductors for automotive power supply design operate in -55°C to +150°C
Direct Cu etch and Co Electroless Deposition for <10 nm IC metal interconnet
Glofo's 28nm-SLP-RF for SOC chips with on-chip RF and app processor
Imagination’s OmniShield enables next-generation SoC security
Wide dynamic range supporting sensor interface front end amplifier
Ultra low energy consuming RF module for IOT smart home
1200V high-power SCR thyristor with automotive-grade production quality
State Machine Event Driven digital power controller IC takes less CPU resources
Plug-and play evaluation tool from NXP for RF energy applications
Mitsubishi power semiconductor modules based on its seventh gen IGBT
ARM Artisan physical IP for UMC's 55 ULP
600V GaN power transistor in 8x8 mm smaller DFN package
RF switch for IoT, smart home and smart lighting
Cache partitioning supporting RTOS for safety critical applications
Startup to biggies; Creating plenty of IoT platforms
Driver IC for automotive DC brushed motor
THine' tech enable automotive surround view monitor in ordinary cars
Samsung innovates further in LED chip packaging to save cost and increase light
SMT Jumper DIP switch and short-stroke pushbutton switch from C&K
Mitsubishi expands its high-power semiconductor modules for electric cars
Panasonic makes it MOSFET solid-state relay 'PhotoMOS' even smaller
MIPS CI20 dev board support for Imagination’s IoT platform
20 more GaN RF transistor Modelithics models from Qorvo
eASIC reprogrammable technology with Intel Xeon processors for cloud sys
500W/cm2 power dense motor driver for industrial automation
TDK expands Lineup of 300 A and 80 A clamp AC current sensor devices
Lam Research's etch tech pushing 3D semiconductor memory production
Platform for development of industrial inverters and servo drives
GaN HEMT from Cree enhances TWT based radar systems' performance
SiC Junction transistor-rectifier module from GeneSiC
Embedded motor controller for driving multiple types of electric motors
Hard macro IP for Phase-Locked Loop functions in SoC design
Rugged digital multi meters for both maintenance and R&D needs
Vendor independent HDL code for image processing using FPGA/ ASICs
World’s first 500Mbaud HSR satellite demodulator chip
Solution connects USB Type-C receptacle and DisplayPort
Shanghai Stollmann selects NFC transceiver from STMicro
IoT/wearable dev platform by Toshiba for its TZ1000 series chips
Magnet mount 2G/3G/4G, Wi-Fi and GNSS antenna base for cars
ARINC 429 Line Driver in 8-pin SOIC from DDC
50% reduction in the entire analog design flow, claims Pulsic
Face trigger, voice trigger supporting scalable Tensilica DSP core for wearable
VLSI SoC layout design: FlexNoC phy interconnect IP reduce P&R iterations
50 A power supply module for FPGA and high-end processor systems
New multicore automotive MCU from ST with enhanced security and safety features
Wind River integrating Simics with MathWorks' Simulink
Security camera reference design for quick wake from sleepmode
LED module help to achieve efficacy of 100 lumens-per-watt
Compact medium power switches for automotive and industrial
FreeRTOS for Tensilica Processors
IO-Link master reference design for factory automation sys
Chip integrates NFC & EPC Gen2V2 dual-frequency RFID
Lowest power programmable sensor hub in the market, by QuickLogic
Evaluation board supports Melexis' magnetic sensor IC
RTOS for your low power microcontroller based IoT embedded system
Industrial-Plus Serial EEPROM operate at temperatures to 105°C
STM32F4 supports rich graphics in embedded design
Spreadtrum ships 28nm Quad-Core 5-mode LTE and WCDMA mobile phone SoCs
Targray adds electrodes to its Li-Ion battery portfolio
SCANIFY 3D scanner can now be purchased from RS Components
A new 8051 processor IP operates 29 times faster
FPGA solution for reliable Video-over-IP
128GB eMMC5.0 flash storage for mainstream smart phones and tablets
Two solid state switches in one supporting high frequency and high-voltage
Full big-endian Linux stack for the 64-bit ARMv8-A architecture
ST demos C-DOCSIS 3.0 solutions at CCBN 2015
3D NAND flash enables affordable 10 TB SSDs
650 W GaN on SiC HEMT pulsed power transistor for L band avionic applications
99.1% power conversion efficient solar PV inverters
Auto-qualified serial EEPROMs from ST in tiny 2x 3mm WFDFPN8 package
Replace your TO 220 Silicon MOSFETs with GaN for higher power density
Touch and display driver integrated chip saves space and cost in smart phones
StackVelocity and Cavium to offer OCP inspired OCS
Cadence' new VLSI physical implementation tool score high in performance
100 Watts CW, 0.03 to 3 GHz microwave device with PIN diode SP2T switch
BOM saving tiny RF filter for GP540 and GP561 RF4CE chips
AC-DC controller specifically optimized for 20-150 Watts LED lamps
ARM Cortex M3 MCUs from Toshiba for smart meters
New version of ZeBu not include features related to patent infringement
Compression IP for MIPI DSI IP enables high resolution at lower bandwidth
e2v inc releases the highest density MRAM device
Soft IP Core, targeting I2C design needs
Mitsubish's 3 new power semiconductor modules to Lineup of Super-mini DIP
200GB memory card by SanDisk
Voice control software optimised for Synopsys's ARC DSP processor cores
80MHz RISC MCU, 40MHz DSP and Bluetooth Smart radio in single chip
Not so high priced vector signal generator from Tektronix
Kingbright unveils new LEDs HELI2 and Minikin-Air series for electronics systems
Non volatile STT-MRAM circuit for SoCs developed by Toshiba
Gesture recognition using MEMS micro-mirror based Infrared imaging
Smarter wake up timer from Linear Tech
1x0.6 mm footprint 33V TVS protector for sensors
New AXI4 verification IP from eInfochips for FPGA and SoC designs
HyperX FURY DDR4 memory modules available in India
Customizable wireless battery charger controller by ST
IoT application processor family from Toshiba
Silicon brain for your car vision, start development using VDK
BA20 processor IP, an alternative to ARM Cortex M4 and M0
AC/DC power supply adapter consuming zero power when not loaded
NFC Tags from STMicroelectronics for IoT
World’s fastest 8051-compatible 80251 microcontroller IP Core
ARM Cortex-M4 MCU deliver 100 DMIPS at 100µA/MHz score highest EEMBC
Compact digi UV Sensor for mobile, wearable, and IoT apps
Improved light source for <14nm semiconductor litho support higher yield
TSMC exposes >1000 silicon wafers in 24hrs using ASML EUV
New semiconductor equipments for <10nm and 3D
NFC system reference design by ams and ST for mobile and wearable
Aluminum electrolytic capacitors: Compact snap-in series
Wi-Fi/Bluetooth stand-alone multiradio module designed for IOT
Enhanced power arch e500 based processor from e2v operate -55 to 125 Deg C
ESCATEC rolls out software alerting manufacturing issue much before
Subscription based electrical CAD Software
Crypto silicon IP cores from Barco Silex supports Apple HomeKit
Twelve throw RF MEMS ohmic switch
200-Gigabit network processor can triple port density of line cards
FPGA based Camera demo to do video analytics
SiC MOSFETs from ST for inverter design
LED drivers from Dialog Semiconductor compatible with transformers
WiFi for uCMK60 VoIP and IoT microcontroller platform
HDR and 12-bit color supporting media processing SOC chip
STM32Cube helps porting of code from one STM32 MCU to another
850MHz and 1900 MHz PCS amplifier for boosting signals
VLSI IP design platform for USB based HID
ST's next-gen optical MEMS capability called Lab4MEMS II
Data compression VLSI IP cores for your chip designs
OCXOs with correction coefficients and I²C interface
45W × 4ch audio power amplifier chip for car audio, operates down to 6V
ARM Cortex M4 MCU execute motor control programs 43% faster
Waveform analysis software by Tektronix
Popular ARM Cortex M0 STM32F0 now with higher flash and USB 2.0 support
Test solutions from Tektronix for USB 3.1 Rx and MIPI M-PHY v3.1
Graphical sampling digital multimeter to view waveforms/signals
6.6K gates 8051 MCU IP at 13.15x speed of the original C8051
New rad-hard SSRs from API
Low power MCUs RL78/I1D from Renesas
New MoCA 2.0 reference designs by Entropic
TI's MSP430i202x/3x/4x MCU with 4 24-bit ADCs is in stock with Mouser
HDMI protection devices from STMicroelectronics
Java code supporting 3rd gen SIM controller chip from StarChip
Single car radio tuner IC for multiple geographical regions
Bluetooth 4.1 n/w processor operate at 1.7V supporting IoT
Tektronix' owned Keithley's characterisation tool support III-V power semiconductor
IC chip for making your smart phone as your car key
IoT LED lamp compatible with Wink and ZigBee certified hubs
Xilinx beats Altera through more than Moore 3D path; FPGA can pack 10 ARM Cortex A9
Red Pitaya and SmartScope makes your smartphone or tablet an oscilloscope
Microsemi' PLC line driver and ST's STreamPlug SoC for charging Evs
Security in Silicon: Microsemi FPGAs feature PUF
Laser marker helps to protect the electronics product from copying
Samsung begin volume production of 8-Gb LPDDR4 Mobile DRAM
CSR to hardware-support ARM's IoT specific mbed OS
High performance yet low-cost schematic and PCB design EDA software
15/10 Watts wireless power receiver transmitter ICs in 2015
Silicon Image re-launches SiBEAM focusing 60GHz products
Reference Design Kit from Ambarella wearable police and security cameras
1300 DegC Thermocouple Interface IC alerts if sensor fails in automotives
GUC integrates Credo's 28G and 56G IP in 16nm FinFET SOC
The primary side driver for LED bulb or tube light design
InGaP based 802.11ac WiFi power amplifier features high linearity
Mitsubishi Electric launches rugged 7.0-inch WVGA TFT-LCD Module
Traction power semiconductors by Mitsubishi package compatible with Infineon's devices
5% tolerant variable capacitor with range of 1-63 pico farads
LED street light circuit reference design from PI
MR16 LED Driver from Diodes compatible with many common transformers
$3,490 priced Tektronix's portable spectrum analyzer
Slim SiC diodes from ST for compact and thin power systems in cars
EM Micro's EMBC01 Bluetooth beacon is FCC/CE/IC certified
FRAM chip integrated with binary counter save 94% energy
Solar based power source for electronic systems monitoring crop production
Fast wireless charging is possible with Freescale's new 15W Ics
NFC wireless tags with stale food gas sensors by MIT
DVB-T2 realtime monitoring and reporting tool ups QoE
Updated development tools from IAR for ST's 8-bit STM8
WiFi audio streaming IoT chip and NFC IoT platform from Broadcom
"Try silicon IP before buy" concept by eSilicon
Solid state power controllers offer longer life compared to mech switches and relays
Ultra-thin semiconductor film deposition equipment from Altatech
BHEL's indigenous PST enables smart grid solution in true sense
HD pico projector chip from TI with improved resolution and brightness performance
ISO 26262 compliant Hall switch family HAL 15xy for the automotive market
New compound semiconductor equipment from Veeco and Altatech
Genode OS Framework release 14.11
New and improved IGBTs M-series 1200V IGBTs from ST
Lithium-ion battery can be tested within in a minute
Soft macro HMC 2.0 memory controller VLSI IP from Open Silicon
Low jitter clock synthesizer for serial data communications
STM32F091 MCU from ST has enough SRAM to implement Java Stack
Hybrid Memory Cube Consortium makes publicly available its HMCC 2.0 specs
New image sensor for smart phone focus easily on fast-moving subjects
400-2700 MHz broadband VGA launched by IDT
STM32F091 MCU from ST has enough SRAM to implement Java Stack
ST offers Open.MEMS Licensing
ESD damage preventing flooring for electronics industry
Audio processor with Bluetooth SoC for wireless music
12.1 inch WXGA and 15 inch SXGA LCD for medical and industrial electronics
I2C configurable power IC for QorIQ processor packs 4 buck and 5 LDO regulators
India semiconductor fab news: HHV offer reactive ion etching equipment for R&D
Automotive grade NOR flash memory withstand up to 125°C
Mitsubishi launches dual low-side driver IC for inverter
Scientists achieve high-efficiency LED for flexible displays
6 axis MEMS to manage GPS discontinuities in cars
600W – 250V RF Transistors from STMicroelectronics
ID card module tech from Infineon support both contact and contactless
Synopsys unveils 4 silicon IPs: processor IP, USB3.1, NVM and sensor interface
Bengaluru based Ittiam makes H.265 a key feature in Android Lollipop
Serial flash from Microchip with fast erase time of 35 ms
0.5 Degree angle error rotation sensor for automotive motor angle measurement
DSP DECT/CAT-iq/ULE SOC works with Qualcomm IPQ806x and Intel Puma
Electro deposited Nickel foil for batteries and ultracaps
Total acid removal filter to enhance yield in semiconductor fabs
Khronos release OpenVX 1.0 Specs for vision analytics
IoT/M2M targeted ARM Cortex M4 MCU family with dual bank flash
Two image sensors with night vision for automotive ADAS
Adjustable and fixed rad-hard voltage references from ST
Osram developing automotive headlight LED producing 1400 lumens
SiC FETs for your 5-10KW range solar inverter design
SMT DC bias device for PIN diode control circuits
Compression and security acceleration card doubles Hadoop performance
Samsung starts production of 3-bit 3D V-NAND flash memory for SSDs
64 bit ARM servers: Both chips and systems now in supply
Enabling of smart phone and tablet into a smart remote control is made easy
Distance measuring proximity sensor for both consumer and industrial electronics
Qualcomm's low power WiFi platform for IoT gets development support
Automata Processor (AP) software development kit from Micron
RFEL adds new Wideband DDC to its signal processing range
88-93% efficient switching regulator as alternative to linear regulators
Synthesizable soft IP Microcontroller, compatible with Motorola MC68HC11K
Bonding and sealing epoxy for aerospace applications
The new multi-range DC power supply from B&K Precision
DSP capable MCU chips powered by new ARM Cortex M7
Mobile phone like device measure BP and other health vitals launched in India
Verification tool features seamless flow of SoC design from pre to post-Si
Software development kit for Sony smart eye wear
20nm 6Gb LPDDR3 Mobile DRAM chip from Samsung in mass production
PIC design: Lumerical INTERCONNECT is integrated with Pyxis Schematic
IoT enabler for Arduino
DSP-based harmonic filter for range of power systems
DSP audio chips from Dialog optimised for voice control
Pin to pin compatible nonvolatile FRAM for your battery integrated SRAM
Synopsys new 2.5 Gbps MIPI IP consumes lesser space and power
Golf player analyzer electronic device uses RFID and MEMS
AppliedMicro's Smart PHY and Acacia's CFP module interoperate
Less expensive GaN-on-Si LED lamps from Toshiba
500 MHz Touch oscilloscope from Teledyne LeCroy for US$5000
Devices inside a bionic ear for wearable sound experience
Small-cell test system from Anritsu for volume manufacturers
28Gbps SerDes evaluation platform for 100G n/w chip design
iNEMO ultra 3-axis accelerometer 3-axis gyroscope in SiP for wearble and IoT
SoCs for designing UHDp60-enabled “4K” STBs
EDA tool for modeling photonic integrated circuits
MEMS design software features improved simulation
Low cost Xilinx FPGA-based MIPI interface IP for embedded systems
Low cost thermal imaging core from Flir supports VGA resolution
IR array scans heat signature with higher field of view
Delphi brings V2V and V2I systems to automotive ADAS
Low-Profile optocouplers for IGBTs and Power MOSFETs
u-blox launches low-cost Wi-Fi/Bluetooth IoT module
70 MHz Tektronix scope at Rupees 29000 for colleges
Dongbu HiTek develops touch control which works when screen is off
NFC device connect to I2C microcontroller
Real-time surround view supporting SOC for automotive ADAS
A heterogeneous C++ AMP language version 1.2 is launched
HSA: sys arch, prog ref manual, sys runtime specs 1.0 available now
Designing projector inside mobile device made easy
FET pre-driver IC for high current DC motors in cars
Logic PD enables adding wireless IoT feature to your present system
Clock ICs offer ultra-low phase noise jitter of less than 200fs
e2v releases new CERQUAD package for 68020
Audience eS704 voice processor makes smart phone "always listening"
Smartphone controlled thermostat by Netatmo powered by Broadcom' chip
2.0 x 1.6mm size quartz crystal for wireless and mobile
Assemble your own smart phone; modular DIY electronics hardware
MHI offering room-temperature semiconductor wafer bonding services
Synopsys' USB 3.0 IP inside 100 Million SoCs
Mouser selling Molex RJ45 PoE+ Gigabit Magnetic Jacks with LEDs
ST mass producing MEMS tracking tag for Bluechiip
8-channel ultrasound pulser IC from ST saves cost and size
Indigenous thin film tech deposition equipment from India's HHV
Photodiode for high power laser monitoring at wavelengths 1nm – 200nm
1 watt metal plate chip current sense resistor
Advanced voice control available on Sensory's NLP-5x single chip
Less power consuming 8M image sensor for smartphones
Voice commands enhancing audio codec for automotive IVI
Solderless connectors simplify multiple wire terminations using poke-in tech
Photonic processor by a UK startup
EUV litho sys by ASM exposes more than 500 semiconductor wafers per day
64-bit Opteron A1100-series developer kit from AMD
GPU silicon IPs from Vivante takes only 0.3mm space in 28nm
Smartmeter SoC chip powered by dual-core 32-bit ARM Cortex-M4
Wireless control panel support for video surveillance systems
FPGA based new ref design for IEEE 802.3 MDIO interface controllers
Patent-pending wireless charging tech by Indian startup
Adesto launches CBRAM tech NVRAM for medical systems
GaN L-Band radar transistor handle 650 W with a typ 19.5 dB gain
5.1 kW programmable DC power supplies for solar applications
TASKING C compiler for Renesas RH850 automotive MCUs
Tektronix Waveform Monitors supports 4K by software upgrade
Li-Ion battery protection controller IC for mobile devices
DC/DC switching regulator from TI supports Thunderbolt
Motor pre-driver IC for power steering in cars
Cadence adds 3 new products to its ORCAD PCB design software
3D chip fab: Detecting defects in TSVs
Rad-hard BJTs from ST
VLSI design: Backward-compatible UVM 1.2 unveiled by Accellera
KYOCERA mass producing very high Q 01005 size ceramic caps
Mutual capacitance method capacitive touch sensing IP for high-rel mobile
An FPGA in every mobile device, Lattice way of biz
e2v introduces Power e600 processor core microprocessor for aerospace
Cadence' new RC extraction tool runs faster supporting finFET designs
Monolithic 8Gb DDR3 SDRAM chip by Micron
Semiconductor manufacturing equipment for 3D NAND fabrication
920IP, an update to ZigBee IP supporting IPv6
Zero-drift Op Amps from ST
Liquid filters for semiconductor fab with 10nm particle retention
Integrated two stage differential amplifier for DOCSIS 3.0 and 3.1
Grayhill expands line of 3K Series keypads
1 Tera byte SSD using 3D NAND chips
Isolated 2 Watts DC/DC modules in SMT package
250 A mains power contactor switch for electric/hybrid vehicle
95% power efficient step-up DC-DC converter IC
HD video streaming kit for IOT market
3D gesture sensor for cars
WiFi SOCs for enabling IoT in embedded and other e-systems
The advantages of SiC Schottky Diodes for inverter converter design
MIPI M-PHY 3.0 Gear 3 IP in UMC40LP
Altium's enhances its high speed PCB design using ICD tech
Dual-phase sync buck controller for GPU/CPUs
Murata's MLCC with interposer substrate reduces acoustic "squealing" noise
802.11ac Wi-Fi Silicon IP cores for your smart connection device
Mentor Veloce assists Inuitive for 1st pass SoC
Audio processor handles low whisper to high decible jet noise
NMOS low-side driver replaces darlington transistor arrays in HV systems
Simulator for analog/mixed signal apps capable of virtual prototyping
ST's new middleware for its MCUs
Two-in-one ARM64 development platform
Synopsys offering production-ready IP for TSMC 28HPC Process
e2v completes redesign of logic device
Standalone operation capable Bluetooth module
MCU with embedded data security features
Load Switch from Diodes Incorporated Safeguards USB Ports
Accellera Systems Initiative Releases UVM 1.2
LTE stack from NextG-Com on ConnX BSP3 processor core
Digital isolator devices optimized for serial peripheral interface (SPI)
Automotive noise killer software on a Tensilica DSP core
Paper thin battery for IoT and wearable
ST's specific MCU for digital power control of cloud
Micronas to use X-FAB's XH018 process for its motor control IC production
ST's diode tech supports faster battery charging
High quality polymer for use as smart phone' LCD glass
Small and light weight piezo sounder/buzzer for medical devices
USB 3.0 with 100 meters cable length possible with Cypress controller chip
Upgraded vector signal generator supports frequencies up to 20 GHz
Long lasting trench-gate field-stop 1200V IGBTs from ST
1.8V STM32 Microcontrollers for designs interfacing with low voltage host
FCI unveils wire-to-wire and wire-to-board 5A and 9A power connectors
ARM processor based DSP lab kit for audio sys design
Tektronix' HDMI 2.0 test solutions offers lot more automation
Lane warning system uses wide angle camera and is more reliable
Ansys and Synopsys EDA software ready for Intel 14nm fab
Intel-inside to Intel-everywhere
Audience eS700 audio codecs in MediaTek MT6592 octa-core smartphone chip
Vectron releases mil grade small size low g–sensitivity TCXO
6Gb/s SSD controller supporting Micron’s 128Gb 16nm MLC NAND
A single USB 3.1 connector for data, power and display interface
Linux development tools for AMD's Steppe Eagle and Crowned Eagle
Updated EDA tools supporting Intel's 14nm Tri-Gate foundry
Rad-hardened new linear regulator and other power devices from API
Insyde software develop android s/w for Atom based tablet
TLE4966V with dual sensors senses both direction and speed in automotive
USB charger IC with fuel gauge
Features enhanced SOCs from TI for car infotainment systems
TI's WiLink 8Q automotive connectivity family now available in production quantities
H.265 video decoder core with no need for software computations
Analog-to-MHL/HDMI converter ICs for products to support analog video
USB PD controller based on the USB PD specs
Accelerometer mems in car can make emergency call
New digital arch class D amp from ST for car audio
Advanced Secure-IC family for cards
Murata release high Q small-size winding-type chip-inductor
Improved flexible electronic PCB manufacturing system from Nordson
Cost effective, easy to use wideband application serving microwave mixers
Smart IGBT MOSFET driver photo coupler
Solar wafer test system helps in yield and efficiency improvement
BERTScope Bit Error Rate Tester from Tektronix for 100G testig
Security microcontroller from INSIDE Secure for e-government apps
Reliability enhanced wafer scale package by STATS ChipPAC
Murata Offering high Q 0201 inductors for smart phones
16-bit, 310 MSPS dual ADC can detect and isolate weak signals
Tried and tested USB 3.0 Host Controller IP from Cadence
3.5mm audio jack for data connectivity solution from NXP
Development platform for digital-lighting design
Micronas launches enhanced angle sensor for automotive and industrial
Controllers for up to 32 thyristor modules via RS485
Fiber delay line systems for delay times up to 40 micro seconds
Security embedded Bluetooth SoC support iBeacon
Reprogrammable Hall effect switch/latch IC from Melexis
IOT Controller ADK with software from GainSpan
New 10 KA bidirectional TVS diodes in SMD from Bourns
ARM Cortex R5 MCUs for automotive electronics
Power amplifier for WiFi infrastructure and multimedia applications
Faster packaging design solutions from Cadence
Chip design: Faster and simpler automatic VLSI layout creator
Advantech's suggestions for Windows XP embedded users
Reference design by Maxim for measuring distributed power grid data
eASIC' Nextreme-3 to support MoSys' GigaChip Interface
32-bit ARM Cortex M0 microcontroller based 'Arduino Zero' board
Multi-conductor cable assemblies for satellites
Video with image flow data for automotive driver assistance sys
Solar PV micro inverter kit supports MPPT and grid-tied DC/AC inverter
Temperature and humidity test systems for electronic devices
A reference design for generating programmable analog output from PLC
Visual differentiating 4.2 x 3.2x2.5mm micro switches
Now reliable 8-bit MCUs for automotive body electronics at lowest price
ESD protection diode for CAN interface
New thin CMF filters from NXP feature higher BW and CMRR
2 and 4-channel LIN transceiver for automotive body control module
AMD to mix and match both ARM and x86 processor cores
20 nm Kintex FPGA from Xilinx achieve PCI express compliance
Entropic adds additional layer of security for its STB SOC Ics
2nd gen Bandwidth Engine from MoSys to handle 600 million packets/sec
Semtech's device protects I/o interface from dangerous surges
Power efficient STM32L0 MCUs from ST available in volumes
Yanfeng Visteon uses ST's car radio processor in its car-audio sys
Microcontroller from Cypress power USB drive integrated on paper
Sony develops tech for 185 TB magnetic storage cartridge
TI's CCS IDE v6 feature App Center, better UI
ARM-Cortex-M0 based PSoC 4
Clip FlatPower Packaged 10 Amps schottky rectifiers
Low speed USB 8-bit Flash MCU for computer peripherals
8.5 - 11.75 GHz GaAs MMIC power amplifier for 41dBm pulsed power
Atmel extend its maXTouch T for mini tablets
Toshiba's 920MHz adaptor with gateway receive ECHONET Lite certification
10MHz to 1.5GHz low jitter and power-efficient universal clocks
Volume shipment of quadband power amp AWT6530 by Anadigics
0.7 mm narrow beam angle IR LED for smart phone and tablets
Wider temp withstanding automotive NOR flash chips from Spansion
Enhanced FPGA software Vivado is 25% faster and support HL synth
ARM Cortex-M3 32-bit microcontroller ICs with new 512KB flash
Standard switched filter banks with 2-7 channel from API
Wideband power amplifier and Ka-Band block upconverter from Hittite
Compact USB phone charger/adapter from CUI
Hardened IEEE 754-compliant floating-point operators in an FPGA
Bluetooth smart MCU from TI for car
Small LP OpenVG Vector Graphics IP Core from CAST
IAR Systems releases updated tool for Renesas RL78 microcontroller family
22 micro farads ceramic 1206 SMT cap from Murata
ViXS launches UHD HEVC 4K Golden Reference Decoder
Fine-pitch copper bumps packaging for Arria 10 FPGAs
Hybrid Memory Cube Controller IP optimized for Virtex-7 FPGA
RF front-end tuner IC supports DVB-T/T2, ISDBT, ATSC, DTMB, and DVBC
USB 3.Optical cable is available from Corning
MCU kit for resolver-to-digital conversion
3.12 Gbps SerDes chipsets from Maxim support both STP and coax cables
IDE and board for QuickLogic's low power sensor hub
ECG, accelerometer integrated e-patch for health monitoring
ARM Compiler ver 6 adopts Clang and LLVM
Trillium LTE TOTALeNodeB 2.0 leverages Broadcom 617xx Series for small cell design
2.0 x 2.2 x 0.3 mm FRAM integrated MCU chips for space constraint applications
Open source software for sensor hub applications
Ultra HD STB and gateway reference design from MaxLinear and ST
GNAT Pro Safety-Critical Development Environment Released for LynxOS-178
LPC MCU from NXP operate up to 105°C
Full HD video app kit for IoT
Test services by UL for solar power plants
Power capacitors rated up to 10 000 µF and 4000 V DC
AdaCore releases GNAT Pro 7.2 for Android
Automated HDMI 2.0 compliance test and debug from Tektronix
MACOM launches IP licensing program for GaN-on-Silicon tech
Camera SoC for intelligent home security sys
Security chip from Infineon allows safe connection to e-systems
Improved speech synthesis tech by Fujitsu
FPGA powered data plane programmability
Vectron expands frequency range of its mil grade MEMS oscillator
Enhanced SEMulator3D virtual fab from Coventor
Toshiba introduces application processors for wearable devices
Wireless sensor network tech supports multiple standards
WCDMA/EDGE/GSM baseband SoC for the low-cost smartphone market
650V IGBTs from ST offer speed and turn -off efficiency
Self-encrypting disk drive for secure data systems
Kit for developing audio/video streaming in IoT devices
ST’s Teseo II single-chip satellite-tracking IC goes for tough test
Stand-alone Solar PV electricity generator from Panasonic
100-V N-channel MOSFETs with 2.3 milli ohms on-res
Freescale adds 6W RF power device for mobile radio
Linear analog DFB laser featured fiber optic transmitter for CATV
IP for post-silicon system validation in SoC design
USB kit for programming Micronas' Hall-effect sensors
DOCSIS 3.1 supporting 1550 nm DWDM DFB Laser Module for CATV
KitKat compliant low power sensor hub chip
240Gbps Ethernet/OTN PHYs for carrier and data center connectivity
Electronic dice for playing board games on mobile devices
40 Gb/s BERT for datacom and long haul testing
STMicro offering new ICs and devices specially for wearable
Tiny multilayer diplexer for implementation of 2.4 GHz/5 GHz band WLAN
Hall effect based precision current sensor with ac/dc range up to 50 A
LED thinner than hair developed using tungsten diselenide
Cellular router for retail and point-of-sale applications
Overmolded backshell cable assemblies from API for def/aero
LED driver IC for bulb and tube LED lamps
10µF, 25V surface mount 0805 monolithic ceramic capacitor from Murata
Oscilloscope and five more instruments in single unit target embedded sys design
AdaCore release GNAT Pro 7.2 has 120 new features
DisplayPort certified transmitter and receiver from Analogix
PicoScope oscilloscopes now run on Linux
Small 15-A DC/DC converter for powering quad and octa-core processors
GPRS/GPS SoC for wearable devices and IoT
XJTAG releases version 3.2 of its boundary scan
Plastic-packaged GaN power transistor for high-rel apps
Module for securing Smart-Grid
64GSPS ADC and DAC semiconductor ip cores for 32nm
Rapid charge AC/DC controller compatible with Mediatek Pump Express
Miniature barometric pressure sensor from STMicroelectronics
Renesas takes its MCU to 28nm
4 GSPS speed capable 12-bit ADC
Microcontroller chip from Holtek for blood glucose meter
0402 surface mount inductor offer Q factor of 20 at 1.0 GHz
Realtek uses Synopsys' software and UMC' fab to make first pass Smart TV SoC
World's smallest Bluetooth smart module in production
ST launches ultra low power STM32 MCUs
Dual gate, ultra-low power CMOS logic from Diodes
Crocus licenses ARM SecurCore SC000 processor
Hybrid fibre-optic PoE cable can extend up to 2KMs
Latest version of its static analysis tool from AdaCore
360-degree magnetic field detector from Murata
High temperature coin Lithium rechargeable Battery from SII
ARM's SBSA spec standard for ARMv8-A based 64-bit server design
Smaller and tougher 650V and 800V MOSFETs from ST
STM32F4 MCUs offer balance of dynamic power consumption and execution performance
Oclaro starts volume production of 700 mW red laser diode
Power supply design tool identifies high-via currents and high resistance paths
High performance 8051 Microcontroller IP core from CAST
X-ray metrology tool for 3-D semiconductor packaging
270 mW compact infrared LED designed for industrial applications
Wideband, high power notch filter reduces cosite interference
CAN bus controller soft IP supports CAN FD specs
STM32 F0 MCUs from ST support crystal-less USB design
650V SiC diodes in common-cathode or series configurations
Arteris's FlexNoC Composition cuts SoC design time from 18 months to 9
INSIDE Secure upgrades its cryptographic module for mobile phones
10 Gb serial link aggregators with 70% lesser footprint compared to FPGA
Automotive platform for Linux from Mentor supports GUI development
Exclusive oscilloscope for power supply design
AMD's Kaveri overflow Intel's APUs
UMC to offer ARM Artisan physical IP and POP IP in its 28nm HLP process
High speed docking station chip-set supports dual 2K display and Gb Ethernet
PCIe 3.0 solution from PLDA passes PCI-SIG PCIe 3.0 compliance testing
The new X6 arch from IBM to support cloud and analytics
Small form factor FPGAs from Microsemi
VLSI Design: HEVC decoder silicon IP from oViCs consumes 840K gates
Cadence claims its C-to-Silicon Compiler saved VLSI design time by 70%
STMicroelectronics launches 64-bit arch for SoC design
CTS' crystal oscillators paired with Microsemi’s fan-out buffers
0.0016 ohms RDS(on) 20V p-channel MOSFET
16-bit and 32-bit soft processor cores jointly by eASIC and EnSilica
Ethernet modules from GE-IP to connect electrical devices
FlexIMS based VoLTE on GCT's 4G LTE chipsets
Single chip GPS IC supports multiple navigation satellites
MEMS gyro for camera image stabilization
Qi 1.1 compatible wireless charging Ics
Unipolar hall-effect switch from Allegro for automotive and industrial markets
Power supply designs for 45W and 12W Ultrabook adapter
FLIR release new Lepton thermal imaging camera core
ZTE launches voice control phone
Smaller 9-axis MEMS module from ST with improved resolution
Headset design using ComHear’s earbuds and Wolfson’s WM8280 audio chip
Design for a 360 degree view of car surrounding
Gainspan WiFi chip powers phone based garden automation sys
H/w and s/w platform supports high-speed digital multimedia home
GEO Semiconductor launches chip for ultra-wide angle camera
MCUs from Holtek feature resistance to RF frequency converter
22 micro farads 0603 smt ceramic capacitors withstand 105 Deg temperature
All-SiC inverter from Mitsubishi consume 55% less power than IGBT
Cloud powered rooftop solar PV power estimation tool from TERI
50 V moisture resistant RF power transistors
ST offering energy harvesting IC with multiple functions
Ultra compact 6-axis motion sensor with industry-lowest power requirement
First 100G Ethernet functionality using hard IP on FPGA
Less than $1 priced 2.5x2.5mm MachXO3 FPGA is getting shipped
Energy-Harvesting IC from ST powers circuits without using battery
Hitachi High-Technologies selects Axeda for IoT
STMicro licenses Imagination's Ensigma processor for car radios.
Infrared receiver offers minimum irradiance of 0.12 mW/m2
STC to offer embedded software services for Wolfson's audio Ics
X-ES launches COTS XMC modules designed using Xilinx Virtex-7 FPGA
Klocwork Insight plugged directly into the QNX Momentics
MoSys launches new bandwidth engine-2 device with higher performance
16 x 26 mm LGA 3G UMTS/HSPA cellular modem module
New 2-18 GHz broadband synthesizer for mil/aero
Xilinx displayed its Zynq solutions at ARM event in Europe
Hearing aid tech with background noise suppressed using neuron n/w algorithm
IPv6 supporting thumb-size embedded device server for IoT
1.9 x 2 mm measuring mini MCU from Freescale for IoT applications
Micronas picks ARM Cortex-M3 for its embedded BDC motor control driver
New optical modulator driver from Hittite
20 V MOSFET in 2.4x2x 0.4mm CSP MICRO FOOT package
GE Launches Operator Interface Solutions for the Industrial Internet
600V trench-gate field-stop IGBT features smooth and tail-less turn-off
MIPI DSI to DisplayPort interface IC from TI
Inductors: Compact metal power inductors for mobile devices
MEMS for mobile with stands higher mechanical shock and temperature
BCM97428GN reference design from Broadcom gets MoCA 2.0 certified
Precision timing GNSS module for cellular networks
Altera releases the Quartus II software supporting 20 nm FPGA design
ARM offering kit to support quicker safety certification
Plessey releases new generation of GaN-on-Si LEDs
Nanoplas equipment replace wet etch with dry etch in 14nm pilot production
Image sensor developed combining CCD with peripheral CMOS electronics
STMicroelectronics’ STM32 MCU powers robot cars from Anki
VLSI design: NEC adopts Aldec’s ALINT for RTL review
Software support for Xilinx' Zynq to design machine vision faster
SafeAssure kit, a dev platform for industrial electronics safety assurance
Redpine’s IoT device with sensors, display, wireless and energy harvest features
1 GSa/s and 2 Mpts of waveform memory DSO from B&K
First galvanic-isolation high-side switch with pure RF comm
Tabula' FPGAs to support of GigaChip Interface
Two ARM Cortex A9 processors connected on 2.5D silicon interposer
TDK extends its 2220 SMT inductors portfolio
IC to send advertising broadcasts to passing smartphones via Bluetooth
PulseWallet integrates Fujitsu PalmSecure biometric technology
Low power non-volatile memory IP for ID/tag chip design
Smallest standalone GNSS module from u-blox
OpenCL expanded to BittWare's Stratix V FPGA half-length PCIe x8 card
Wi-Fi Cloud Kit for designing IoT
Piezoelectric MEMS oscillator perform better than quartz
Quadrant photo-diode with a 5 mm2 active area
Pocket size 200 MHz oscilloscope from Pico
4-Mbit FRAM from Fujitsu as a replacement for SRAM
Freescale Kinetis based VoIP MCU design from Arcturus
Xilinx ahead in the race by shipping 20 nm FPGAs
MCU chip for designing vehicle parking assistance system
8M CMOS image sensor for smart phone and tablet
Micron's Hybrid Memory Cube in supercomputer prototype
ESD Protection Diode for high-speed wire interface
Ultra-low-noise LDO for powering RF circuit
PMC / XMC offers 12 high-speed CAN bus channels
Sierra Wireless launches new socket mountable M2M module
SATA 3 Gbps Compliant half slim type SSDs
3.5Wrms rated class D audio amplifier for Ultra books and tablets
2D/2.5D graphics accelerator and a multilayer display controller silicon IP
GbE, baseboard mgmt controller, and sys mgmt firmware in one chip
Kbro Broadband' STBs made by MitraStar is powered by ST's SoC
Spreadtrum licenses CEVA-TeakLite-4 audio/voice DSP
Latest version of PowerVR Graphics SDK v3.2 made available
MCU chip for designing vehicle parking assistance system
Software subsystem detects audio alarms and any security threats
Voltage transient protection diodes for NFC antenna
L-band/S-band filter diplexer for UAV communication link
Connecting ARM mbed to Axeda Machine Cloud is made easy
Update from Intel on its LTE chip business
Tiny 1x1mm MOSFET and BJT with 2A collector current rating
Low noise rail to rail op amp
19nm JEDEC compliant eMMC 5.0 NAND flash memory modules
Hardware compression solution for Apache Hadoop
Industrial tablet PC based on TI Sitara AM3515 chip
IC-LOGIC uses Arteris' FlexNoC and C2C IP in its IO HUB chip
New MEMS design software creates Verilog-A ROM models faster
ARM DS-5 supports testing of Cortex microcontrollers for running RToS
FETs from CEL for satellite and wireless equipment
IBM
goes for Cortex and Mali IP from ARM
ARM Cortex-A9 embedded Pico-ITX board supports Android and Ubuntu
Replacement Op Amp ICs for ADI's AD8051, AD8052 and AD8054
Wi-Fi video monitoring camera designed using SOC from Ambarella
ARMv8-R supports consolidation of embedded software code
Dialogic's session border controller with native software transcoding
Security processor: 40Gb/s throughput with simultaneous compression
Single phase to three phase converter IC for air-conditioner motors
Ultrasound transceiver packs pulsers, T/R switches, ADC, LNA, VGA, and more
Compliance analyzers for DDR4, DDR3 and DDR3L DRAM interface specs
Films for solar PV panels protect against degradation
Kit for connecting embedded device to the cloud
Comcerto 2000 development kit for broadband home router design
ANADIGICS added six more amplifiers supporting DOCSIS 3.1
Highly programmable RF transceiver for SDR n/w design
Indium Phosphide-based transceiver chip for 100X faster mobile phones
JEDEC' standard for measuring proton radiation on devices
New PVD and PECVD MO depositing equipments for display panel production
40 GFLOPs vector floating point DSP for wireless communication
Worldwide OTN revenue was up 23% in 1H13, to $3.9 billion, as per Infonetics
Power line comm supporting SoCs from ST for smart-meter and smart-home
Embedded-Systems-specific Intel Atom E3800 gets software eco support
ULE Technology white papers from DSP Group and CODICO
SIMD and hardware virtualization supporting CPU core from Imagination
Transformerless LED lamps with light emission up to 50 lumen per watt
Noise free 30 GHz+ signal generator circuit for automotive radar apps
Free PCB and mechanical design software from a component retailer
UMS offering RF IC design flow using Agilent's latest ADS software
On-chip JESD204B ADC-FPGA signal integrity check tool from ADI
First SDR radio chip by NXP for C2C and C2I communication
IoT supporting DECT based home gateway for smart home electronics
HDMI to MIPI DSI converter IC
ICsense designs ASIC for world's first MEMS speaker
Three products from Mentor for HDMI 2.0 chip design
Intel announces chips and software supporting IoT
$8.9 discovery kit from ST supporting STM32F030 value line MCUs
ST's software stack for its video SoC is compliant with GENIVI specs
Long-range wireless M2M sensor/IOT network without repeaters
RF antenna switch with MIPI RFFE interface
Flexible microstepping motor driver IC with a built in translator
VLSI design: FastSPICE simulator reduce simulation time from days to hours
24-bit low power piezo resistive sensor signal interface IC
Online access to MPW semiconductor chip tape-out service
Current protection resettable PPTC thermistor
Fuji Electric expands its FRENIC-Mini compact inverters
5G Wi-Fi and Bluetooth chip connects car with rest of the world
Not a memory chip, it's a memory cube
High current gain bipolar transistors from ST as good as FETs
UniPixel and Kodak cobranding touch sensor family 'InTouch'
1.6 x 1.6 mm footprint LED from Cree delivers 287 lumens at 3 W
Adesto shipped 1 billion DataFlash products
Integrated passives from ST are smaller and perform better
Control PCB design software by touch on smart phones and tablets
ST has developed some key products for smart watch
Octal ultrasound transceiver from Maxim saves 40% space and 30% power
LDO with quiescent current of 30µA can supply 300mA of output
Kumamoto University adopts Aldec’s SoC and FPGA prototyping tool
Clock and data recovery devices support 28 Gbps speed
G’Five has chosen QuickLogic's ArcticLink III BX chips
Brain Sentry Impact Sensor designed using ST's MEMS
Microcontroller programming IDE LPCXpresso from NXP now supports C++
Jitter generation software for T1 E1 Lines
Ethernet module with daisy chain networking
Synopsys' interface IP available for TSMC's 20 nm process
US$ 26 billion mobile app revenue in 2013, up by 44%
Bruker launches world’s first Magnetic Particle Imaging sys
ARM Cortex M4 MCUs taken to new height of performance
LED arrays from Cree replaces 39W ceramic metal halide
Board Level shields to minimize RFI and EMI
Chip from TI senses inductance and converts to digital data
Compact high-bright two-chip LEDs for car headlamps
Toshiba adds 10 A SiC schottky barrier diodes
Wide range current transformers meeting UL, ANSI, VDE, CE, and MIL stds
Low-cost 10G Ethernet SFP+ transceiver by Oclaro for backhaul
Ultra-high stable TCXO from Vectron
Highly stable VCTCXO IC from Micro Analog
Voice processor chip from Audience for speech to text
New 16-bit MCUs from Renesas for automotive body control electronics
Voice-enabled RF remote-control development kit
ST widens its product offerings for smart home electronics
STB processors from STMicro support Google services for TV
USB 3.0 to HDMI adapter from DisplayLink
Single platform for emulation and verification of communication protocols
HDMI 2 compliant communication interface chips from Panasonic
Intel launched 45% more power efficient high-performance processors
MEMS gyroscope from ST for digital camera image stabilisation
FPGA based OpenVPX System for mil/defence electronics
Hathway's new set-top boxes to use ICs from ST Microelectronics
JPEG2000-RAW IP-cores from intoPIX for FPGA
UHDTV JPEG 2000 cores supporting 28 nm FPGAs and SOCs
PMC launches Series 8 12Gb/s SAS RAID Adapter family
Interposer card from Teledyne Lecroy for analysis of SSDs
Model PTV09 rotary potentiometer from Bourns in six new configs
Aeroflex releases multi-strategy test gear for India’s automotive sector
EVERLIGHT adds 7, 10,15 Watts LEDs
The component/system behind self driving cars
Combination of AMD R series with Radeon graphic chips drives six displays
High-speed CAN transceivers from TI feature ESD protection
Low-power and low-cost PSoC integrates USB2 and SPI
IRLED from Opto Diode for nightvision illumination
Electronic choke/ballast control IC for fluorescent tubes
Add voice recognition/speech-to-text feature to your SOC design
ST and Tapko release KNX stack for STM family MCUs
GainSpan sampling Wi-Fi/ZigBee chip for IoT and other applications
$24.99 USB FPGA development board/kit for mobile app development
Inductor from TDK for use as antenna coil in tire pressure monitoring
Zuken's PCB design software enhanced with high-speed support
Wireless chip features support for Wi-Fi, Bluetooth and FM
Fingerprint protected USB thumb drives from Moser Baer
The MoCA chipset from ViXS passed MoCA 1.1 certification
Packet processor SOC for software defined public networks
MHL, LLC announced the MHL 3.0 specification
Agilent Integrates Gradient' thermal analysis feature in its EDA tool
Cadence GigaOpt reducing SOC physical design time
Genode OS new version support Qt5 and multi-processor
Precision fiber optic gyro modules for UAV and other defense electronics
China-based Allwinner selects FlexNoC interconnect IP from Arteris
USB port power controller ICs with high charging current capability
High-speed photodetectors with ± 35° angle half sensitivity
Ni-Cd batteries from Panasonic operate from -40°C to 60°C
Buck regulator delivers 3A current at efficiency higher than 97.5%
Synopsys extends its FPGA tool support to Lattice Semiconductor
Low-cost ODROID-XU dev board based on PowerVR SGX544 GPU
RF transceiver IC for automotive key fob and body control
Verification IP for LPDDR4, Wide I/O 2, eMMC 5.0, HMC and DDR4 LRDIMM
Lite-On and DigitalOptics partner in producing mems|cam modules
Flicker less mains 230V-AC 45W LED drivers from Dialog Semiconductor
600V IGBT/MOSFET gate driver IC for electric car motor applications
Precision and low-output noise rad-hard voltage references
650V automotive MOSFETs in TO-247
LED from Osram replaces halogen spotlights
2.1 MM thin seven segment displays for multiple applications
Fast-mode Plus I²C-bus buffer for servers
Devlopment kit from CEVA for creating software for HD media processing
35 to 45 A rated DC to DC synchronous buck power blocs in 5X6 MM package
Trench MOS Barrier Schottky rectifiers from Vishay offer Vf of 0.34V at 3A
Console from Airtight help in restricting cell phones use inside a zone
Panasonic makes microcomputer based on its ReRAM nonvolatile memory tech
DECT IP phones from Yealink designed using VoIP chipset from DSP Group
AMD adds another power efficient SoC for fan-less embedded board design
Allegro offering Sanken made POL converter modules
Freescale expands its Cortex M0+ MCUs with application specific enhancements
HDMI/MHL to Wireless HD video interface reference design from silicon image
ADC, processor IP, DSP software from synopsis for developing sensor interface
SpeedBridge Adapter for PCIe 3.0 to speedup designs in pre-silicon environment
Innovative touch LCD panel embeds touch key inside LCD cell
8051 code compatible processor IP both in Von Neumann and Harvard architectures
FCI launches 12Gb/s SAS connectors for servers
Cost-effective compass sensor for mobile devices by MagnaChip
Miniature transformers from Coilcraft for sepic converters
150V gallium nitride-based power devices from Fujitsu for power supply design
ST's chip powers Korea's first NFC enabled fridge
High-speed FlexRay transceivers from Infineon supports version 3.0.1
28 nm enhanced ADC, DAC, PLL IP from Synopsys for SOC design
Super junction MOSFETs with 650V rating
Micron sampling 16nm made 128Gb NAND flash chip
4-CH, 24-bit USB-2405 dynamic DAQ module from Adlink
USB-Serial Bridge Controllers feature touch sensing and battery-charge detection
Tiny 0.7x0.7mm 400mW BJT NPN and PNP transistors from Diodes
Questa iSDV automates writing directed tests in C to verify multi-core SoC design
Stepper motor ICs featuring high voltage, high current and low heat-generation
Virtual lab by ST for audio design
TVS diodes from Littelfuse with improved surge protection and power handling
Current-mode boost converter with 10 I²C programmable current sinks for LEDs
0.5 A, 1uH 1005 SMT inductor and a tiny pulse transformer from TDK
2x20W power capable digital audio SoC from ST in 2.57x3.24mm CSP package
Aldec's UVM supporting VLSI verification platform simulates 2-3x faster
Chip-design EEs can monitor V/I and EM issues while layout is created
Toshiba expands LV N-MOSFETs used in Li-ion battery protection circuits
SEMATECH and TNO developing standards for outgassing in IC production
CSSP ICs from QuickLogic for connecting SDIO to USB
Rad-hardened precision 40V 19MHz op-amp offer best SET performance
ProTek adds new chip-fuses to its family of protection devices
NXP and Cohda unveil new V2X device for connected vehicles
Advantest launches new test solution for complex SoCs
Toshiba launched 13 MP BSI CMOS image sensor with color noise reduction
MCUs from XMOS supported with CANopen and Modbus soft interface
Crypto IC from Altis packs several encryption engines
CZTSe -based thin film solar cell with 9.7 percent efficiency
AWR releases new version of its HF design software
Solid tantalum chip capacitors with ESR down to 0.180 Ohms at 100 kHz
HD video interface design: Stream HD video to display units over a pair of wires
Sanken developed non-isolated step-down DC/DC converter converter modules
2 Amperes capable Sync buck for automotive electronics
World’s first smart-meter IC with built-in support for the METERS AND MORE
SynaptiCAD's WaveFormer pro enhanced with import/export of waveforms
Agilent's FPGA kit for advanced sys design is supported by Mentor's software
AMD to maker server chips by embracing both x86 and ARM instruction set
Jun- 2013
MOSFET feature active clamp structure to protect from overvoltage in relays
Ultra HD CMOS image sensors made using 130nm process on 200mm Si Wafers
ARM Development Studio 5 starter kit for Renesas RZ/A1 devices
TowerJazz to provide fab services for infrared image sensor for range of application
New improved process from STMicroelectronics for making RF devices
Samsung's flash memory drives read 5GB data in six minutes
Power architecture processor core based ICs from e2v for aero/defence embedded systems
Cavium’s OCTEON III powered by latest MIPS arch MIPSr5
AEC-Q100-Compliant GPIO for I2C-bus/SMBus applications
Quad-Core PXI Embedded Controller powered by Intel i7
Power-One unveils new open-frame power supplies for industrial and medical
Concentrator triple-junction compound solar PV cell efficiency reach 44.4%
Reconfigurable radio IC for phones in 28nm unveiled at VLSI circuits Symposium
Toshiba expands interface-converter bridge ICs for high resolution LCD display
Graphene nanoribbon double the Lithium Ion battery capacity
Broadcom's Quad-Core HSPA+ processor for the Android Jelly Bean smartphones
32K I2C and SPI EEPROMs offer up to 4 million erase/write cycles
Memory and logic libraries for optimal design of SoC
The new FX-9590 8-core processor from AMD touch the speed of 5GHz
SoC for headless gateway for simultaneous HD video and data over cable
Fujitsu launches ARM Cortex-M4 powered MCUs for industrial applications
Test tech from Synopsys can test several silicon dies at one time and faster
Modulated drivers for 100G optical communication sys
Ultrathin Schottky from Diodes Inc target thin mobile devices
ARM Cortex M0 MCU with built-in audio codec supports voice record and output
Altera to sample its 14nm FPGA test-chips by end-2013
Fusing resistors from KOA safely interrupt open circuits up to 250VAC
Qualcomm Snapdragon 800 processor to support Windows RT 8.1
32 bit processor core for powering MCUs in embedded takes <10 K gates
MIPS64 multicore processor powered 2/4 core OCTEON III SoC from Cavium
LTE gateway reference design based on Mindspeed and Sequans' chips
Highlights of Genode OS Framework release 13.05
Accelera delivers revised version of standards for low power IC design
Rogers launches high frequency laminate material with improved thermal reliability
40 KHz+ operating ultrafast diodes with fast recovery time and low Vf
New low power server processors X1150 and X2150 from AMD
Wi-Fi IC for enabling IoT feature in simple embedded systems
Image sensor IC tracks finger movements for touchfree gesture
Agilent adds new retimer models for analyzing Gb data moving from chip to chip
SoC prototype from S2C based on Xilinx’s 28-nm Kintex-7 FPGA
New LDMOS power transistors in plastic package for TD-LTE
INSIDE Secure's SafeZone encryption toolkit receives security certification
Tool provide detailed info about the post-layout interconnections on SoC chips
The new LIN IC from Melexis MLX80030 for single-wire bus systems
Waveform monitor with HDMI/HDCP i/ps for video service providers
Archived
New Product News for the period of Jan-2013 To May 2013
Archived
New Product News for the period of Jan-2012 To Dec 2012
Archived
New Product News for the period of Jan-2011 To Dec 2011
Archived
New Product News for the period of July To Dec 2010
Archived
New Product News for the period of Jan to Jun 2010
Archived
New Product News of year 2009
Archived
New Product News of year 2008, 2007, 2006
|